当前位置: 首页 > news >正文

10G MAC层设计系列-(2)MAC RX模块

一、概述

MAC RX模块的需要进行解码、对齐、CRC校验。

因为在空闲的时候10G PCS/PMA会一直向外吐空闲符(x07)所以需要根据开始符、结束符将有效数据从码流中截取,也就是解码。

因为开始字符的所在位置有两种形式,而结束字符的位置不确定,所以需要根据开始字符、结束字符的位置将数据进行对齐。

在将数据对齐的同时,还需要将获取到的有效数据进行CRC校验,这里就需要设计64bit CRC-32校验。

开始、结束、空闲、错误 控制字符

二、具体实现

 1、检测开始、结束字符的位置

assign w_sof = (ri_xgmii_rxc[7] && ri_xgmii_rxd[63:56] == 8'hFB) ||(ri_xgmii_rxc[3] && ri_xgmii_rxd[31:24] == 8'hFB) ;
assign w_sof_local = (ri_xgmii_rxc[7] && ri_xgmii_rxd[63:56] == 8'hFB) ? 0: 1;
assign w_eof = (ri_xgmii_rxc[0] && ri_xgmii_rxd[7:  0] == 8'hFE) ||(ri_xgmii_rxc[1] && ri_xgmii_rxd[15: 8] == 8'hFE) ||(ri_xgmii_rxc[2] && ri_xgmii_rxd[23:16] == 8'hFE) ||(ri_xgmii_rxc[3] && ri_xgmii_rxd[31:24] == 8'hFE) ||(ri_xgmii_rxc[4] && ri_xgmii_rxd[39:32] == 8'hFE) ||(ri_xgmii_rxc[5] && ri_xgmii_rxd[47:40] == 8'hFE) ||(ri_xgmii_rxc[6] && ri_xgmii_rxd[55:48] == 8'hFE) ||(ri_xgmii_rxc[7] && ri_xgmii_rxd[63:56] == 8'hFE) ;assign w_eof_local = (ri_xgmii_rxc[1] && ri_xgmii_rxd[15: 8] == 8'hFE) ? 6 :(ri_xgmii_rxc[2] && ri_xgmii_rxd[23:16] == 8'hFE) ? 5 :(ri_xgmii_rxc[3] && ri_xgmii_rxd[31:24] == 8'hFE) ? 4 :(ri_xgmii_rxc[4] && ri_xgmii_rxd[39:32] == 8'hFE) ? 3 :(ri_xgmii_rxc[5] && ri_xgmii_rxd[47:40] == 8'hFE) ? 2 :(ri_xgmii_rxc[6] && ri_xgmii_rxd[55:48] == 8'hFE) ? 1 :(ri_xgmii_rxc[7] && ri_xgmii_rxd[63:56] == 8'hFE) ? 0 :7;

2、获取目的MAC、源MAC、帧类型

//获取目的MAC
always@(posedge i_clk,posedge i_rst)beginif(i_rst)r_target_mac <= 'd0;  elseif(r_sof_local == 0 && r_cnt == 1)r_target_mac <= ri_xgmii_rxd_ff1[55:8];else if(r_sof_local == 1 && r_cnt == 1)r_target_mac <= {ri_xgmii_rxd_ff1[23:0],ri_xgmii_rxd[63:40]};elser_target_mac <= r_target_mac;
end
//获取源MAC
always@(posedge i_clk,posedge i_rst)beginif(i_rst)r_source_mac <= 'd0;elseif(r_sof_local == 0 && r_cnt == 1)r_source_mac <= {ri_xgmii_rxd_ff1[7:0],ri_xgmii_rxd[63:24]};else if(r_sof_local == 1 && r_cnt == 2)r_source_mac <= {ri_xgmii_rxd_ff1[39:0],ri_xgmii_rxd[63:56]};elser_source_mac <= r_source_mac;
end
//获取帧类型
always@(posedge i_clk,posedge i_rst)beginif(i_rst)r_type <= 'd0; elseif(r_sof_local == 0 && r_cnt == 2)r_type <= ri_xgmii_rxd_ff1[23:8];else if(r_sof_local == 1 && r_cnt == 3)r_type <= ri_xgmii_rxd_ff1[55:40];elser_type <= r_type;
end

这里需要了解一下标准以太网帧的帧格式

 在提取MAC字段之前需要去除前导码:56‘h55555555_555555,SFD:8‘hD5。

3、对齐数据

根据开始字符的位置对齐数据

always@(posedge i_clk,posedge i_rst)beginif(i_rst)rm_axis_data <= 'd0;elseif(r_sof_local == 0 && r_run)rm_axis_data <= {ri_xgmii_rxd_ff1[7:0],ri_xgmii_rxd[63:8]};else if(r_sof_local == 1 && r_run)rm_axis_data <= {ri_xgmii_rxd_ff1[39:0],ri_xgmii_rxd[63:40]};elserm_axis_data <= 'd0;
end

因为使用的AXI-Stream接口,所以需要根据结束字符的位置处理最后一次传输的KEEP信号、Valid信号、Last信号

//keep信号处理!!!!
always@(posedge i_clk,posedge i_rst)beginif(i_rst)rm_axis_keep <= 'd0;elseif(r_run && !r_run_ff1)rm_axis_keep <= 8'b1111_1111;else if(rm_axis_last)rm_axis_keep <= 'd0;else if(r_sof_local == 0 && w_eof)case(w_eof_local)0           : rm_axis_keep <= 8'b1000_0000;1           : rm_axis_keep <= 8'b1100_0000;2           : rm_axis_keep <= 8'b1110_0000;3           : rm_axis_keep <= 8'b1111_0000;4           : rm_axis_keep <= 8'b1111_1000;5           : rm_axis_keep <= 8'b1111_1100;6           : rm_axis_keep <= 8'b1111_1110;7           : rm_axis_keep <= 8'b1111_1111;default     : rm_axis_keep <= 8'b1111_1111;endcaseelse if(r_sof_local == 1 && w_eof && w_eof_local <= 3)case(w_eof_local)0           : rm_axis_keep <= 8'b1111_1000;1           : rm_axis_keep <= 8'b1111_1100;2           : rm_axis_keep <= 8'b1111_1110;3           : rm_axis_keep <= 8'b1111_1111;4           : rm_axis_keep <= 8'b1000_0000;5           : rm_axis_keep <= 8'b1100_0000;6           : rm_axis_keep <= 8'b1110_0000;7           : rm_axis_keep <= 8'b1111_0000;default     : rm_axis_keep <= 8'b1111_1111;endcase else if(r_sof_local == 1 && r_eof && r_eof_local >= 4)case(r_eof_local)0           : rm_axis_keep <= 8'b1111_1000;1           : rm_axis_keep <= 8'b1111_1100;2           : rm_axis_keep <= 8'b1111_1110;3           : rm_axis_keep <= 8'b1111_1111;4           : rm_axis_keep <= 8'b1000_0000;5           : rm_axis_keep <= 8'b1100_0000;6           : rm_axis_keep <= 8'b1110_0000;7           : rm_axis_keep <= 8'b1111_0000;default     : rm_axis_keep <= 8'b1111_1111;endcase         elserm_axis_keep <= rm_axis_keep;
endalways@(posedge i_clk,posedge i_rst)beginif(i_rst)rm_axis_last <= 1'b0;elseif(r_sof_local == 0 && w_eof)rm_axis_last <= 1'b1;else if(r_sof_local == 1 && w_eof && w_eof_local <= 3)rm_axis_last <= 1'b1;else if(r_sof_local == 1 && r_eof && r_eof_local >= 4)rm_axis_last <= 1'b1;else rm_axis_last <= 1'b0;end
///valid信号,用r_run的上升沿判断数据开始
always@(posedge i_clk,posedge i_rst)beginif(i_rst)rm_axis_valid <= 1'b0;else if(rm_axis_last)rm_axis_valid <= 1'b0;else if(r_run && !r_run_ff1)rm_axis_valid <= 1'b1;elserm_axis_valid <= rm_axis_valid;
end

4、CRC校验

在进行字节对齐的过程中,需要进行CRC校验,在此过程中使用的标准以太网的CRC-32校验。

因为校验开始的位置是从目的MAC开始的,因此需要从目的MAC字段对齐数据。

always@(posedge i_clk,posedge i_rst)beginif(i_rst)r_crc_data <= 'd0;elseif(r_sof_local == 0)if(r_sof_ff2)r_crc_data <= {ri_xgmii_rxd_ff2[55:0],ri_xgmii_rxd_ff1[63:56]};else if(r_eof || r_eof_ff1)r_crc_data <= {ri_xgmii_rxd_ff2[55:0],ri_xgmii_rxd_ff1[63:56]};else if(r_run_ff1)r_crc_data <= {ri_xgmii_rxd_ff2[55:0],ri_xgmii_rxd_ff1[63:56]};else r_crc_data <= 'd0; else if(r_sof_ff2)r_crc_data <= {ri_xgmii_rxd_ff2[23:0],ri_xgmii_rxd_ff1[63:24]};else if(r_eof || r_eof_ff1)r_crc_data <= {ri_xgmii_rxd_ff2[23:0],ri_xgmii_rxd_ff1[63:24]};else if(r_run)r_crc_data <= {ri_xgmii_rxd_ff2[23:0],ri_xgmii_rxd_ff1[63:24]};elser_crc_data <= 'd0;
end

在此过程中需要一个使能信号,指示需要对当前的数据进行CRC校验

//CRC_en控制
always@(posedge i_clk,posedge i_rst)beginif(i_rst)r_crc_en <= 'd0;elseif(r_sof_local == 0)if(r_sof_ff2)r_crc_en <= 1'b1;else if(r_eof)r_crc_en <= 1'b1;else if(r_eof_ff1)case(r_eof_local)0           :r_crc_en <= 1'b0;1           :r_crc_en <= 1'b0;2           :r_crc_en <= 1'b0;3           :r_crc_en <= 1'b0;4           :r_crc_en <= 1'b0;5           :r_crc_en <= 1'b0;6           :r_crc_en <= 1'b1;//剩余一个数据,需要再次拉高crc_en7           :r_crc_en <= 1'b1;//剩余两个数据,需要再次拉高crc_endefault     :r_crc_en <= 1'b0;endcase                else if(r_eof_ff2)r_crc_en <= 1'b0;else r_crc_en <= r_crc_en; else if(r_sof_ff2)r_crc_en <= 1'b1;else if(w_eof)r_crc_en <= 1'b1;               else if(r_eof)case(r_eof_local)0           :r_crc_en <= 1'b0;//1           :r_crc_en <= 1'b0;2           :r_crc_en <= 1'b1;3           :r_crc_en <= 1'b1;4           :r_crc_en <= 1'b1;5           :r_crc_en <= 1'b1;6           :r_crc_en <= 1'b1;7           :r_crc_en <= 1'b1;default     :r_crc_en <= 1'b0;endcaseelse if(r_eof_ff1)r_crc_en <= 1'b0;elser_crc_en <= r_crc_en;
end

最后也需要对CRC数据的最后一次传输的有效数据进行指示,这里加入一个CRC_KEEP信号,指示最后一次传输的有效数据。

//CRC_KEEP处理!!!!
always@(posedge i_clk,posedge i_rst)beginif(i_rst)r_crc_keep <= 'd0;elseif(r_sof_ff2)r_crc_keep <= 8'b1111_1111;// else if((!r_crc_en && r_crc_en_ff1) || r_eof_ff2)//     r_crc_keep <= 8'b0000_0000;else if(r_sof_local == 0 && r_eof)case(r_eof_local)0           : r_crc_keep <= 8'b1110_0000;1           : r_crc_keep <= 8'b1111_0000;2           : r_crc_keep <= 8'b1111_1000;3           : r_crc_keep <= 8'b1111_1100;4           : r_crc_keep <= 8'b1111_1110;5           : r_crc_keep <= 8'b1111_1111;default     : r_crc_keep <= 8'b1111_1111;endcaseelse if(r_sof_local == 0 && r_eof_ff1)case(r_eof_local)6           : r_crc_keep <= 8'b1000_0000;7           : r_crc_keep <= 8'b1100_0000;default     : r_crc_keep <= r_crc_keep;endcase   else if(r_sof_local == 1 && w_eof)case(w_eof_local)0           : r_crc_keep <= 8'b1111_1110;1           : r_crc_keep <= 8'b1111_1111;2           : r_crc_keep <= 8'b1111_1111;3           : r_crc_keep <= 8'b1111_1111;4           : r_crc_keep <= 8'b1111_1111;5           : r_crc_keep <= 8'b1111_1111;6           : r_crc_keep <= 8'b1111_1111;7           : r_crc_keep <= 8'b1111_1111;default     : r_crc_keep <= 8'b1111_1111;endcase else if(r_sof_local == 1 && r_eof)case(r_eof_local)// 0           : r_crc_keep <= 8'b1111_1000;// 1           : r_crc_keep <= 8'b1111_1100;2           : r_crc_keep <= 8'b1000_0000;3           : r_crc_keep <= 8'b1100_0000;4           : r_crc_keep <= 8'b1110_0000;5           : r_crc_keep <= 8'b1111_0000;6           : r_crc_keep <= 8'b1111_1000;7           : r_crc_keep <= 8'b1111_1100;default     : r_crc_keep <= r_crc_keep;endcase // else if(r_sof_local == 1 && r_eof && r_eof_local >= 4)//     case(r_eof_local)//         0           : r_crc_keep <= 8'b1111_1000;//         1           : r_crc_keep <= 8'b1111_1100;//         2           : r_crc_keep <= 8'b1111_1110;//         3           : r_crc_keep <= 8'b1111_1111;//         4           : r_crc_keep <= 8'b1000_0000;//         5           : r_crc_keep <= 8'b1100_0000;//         6           : r_crc_keep <= 8'b1110_0000;//         7           : r_crc_keep <= 8'b1111_0000;//         default     : r_crc_keep <= 8'b1111_1111;//     endcase         elser_crc_keep <= r_crc_keep;
end

 5、CRC模块

CRC的生成公式采用的标准以太网的CRC-32的公式,这里可以参考此篇文章。

三、总结

MAC RX模块主要的难点就在于数据KEEP信号的处理以及相应的64bit的 CRC-32模块的实现。KEEP信号要考虑到开始、结束字符的位置,因此需要处理多种情况。而CEC模块的那点主要在于对于多Bytw数据,一次输入的数据可能不是全部有效的,所以也是需要考虑多种情况。

相关文章:

10G MAC层设计系列-(2)MAC RX模块

一、概述 MAC RX模块的需要进行解码、对齐、CRC校验。 因为在空闲的时候10G PCS/PMA会一直向外吐空闲符&#xff08;x07&#xff09;所以需要根据开始符、结束符将有效数据从码流中截取&#xff0c;也就是解码。 因为开始字符的所在位置有两种形式&#xff0c;而结束字符的位…...

解码Starknet Verifier:深入逆向工程之旅

1. 引言 Sandstorm为&#xff1a; 能提交独立proof给StarkWare的Ethereum Verifier&#xff0c;的首个开源的STARK prover。 开源代码见&#xff1a; https://github.com/andrewmilson/sandstorm&#xff08;Rust&#xff09; L2Beat 提供了以太坊上Starknet的合约架构图&…...

【C++语言】类和对象--默认成员函数 (中)

文章目录 前言类的六个默认成员函数&#xff1a;1. 构造函数概念特性做了什么&#xff1f;易错注意&#xff1a;显式定义和默认构造函数 2. 析构函数概念特征做了什么?注意事项&#xff1a; 3.拷贝构造函数概念特征做了什么&#xff1f;注意事项&#xff1a; 4.赋值运算符重载…...

前端递归常见应用

概览 在 JavaScript 中&#xff0c;递归是一种编程技术&#xff0c;指的是函数直接或间接调用自身的过程。 递归通常用于解决可以分解为相同子问题的问题。通过不断地将问题分解成更小的、相似的子问题&#xff0c;直到达到某种基本情况&#xff08;不再需要进一步递归的简单情…...

AI工具如何改变我们的工作与生活

AI工具在当今社会中扮演着越来越重要的角色&#xff0c;它们已经开始改变着我们的工作方式和生活方式。在接下来的2000字篇幅中&#xff0c;我将详细探讨AI工具如何影响我们的工作和生活。 AI工具在工作中的影响&#xff1a; 自动化和智能化生产流程&#xff1a; AI工具可以通…...

深入了解C/C++的内存区域划分

&#x1f525;个人主页&#xff1a;北辰水墨 &#x1f525;专栏&#xff1a;C学习仓 本节我们来讲解C/C的内存区域划分&#xff0c;文末会附加一道题目来检验成果&#xff08;有参考答案&#xff09; 一、大体有哪些区域&#xff1f;分别存放什么变量开辟的空间&#xff1f; …...

C++构造函数和析构函数的调用顺序

一般情况下&#xff0c;调用析构函数的次序正好与调用构造函数的次序相反&#xff0c;也就是最先被调用的构造函数&#xff0c;其对应的析构函数最后被调用&#xff0c;而最后被调用的构造函数&#xff0c;其对应的析构函数最先被调用。 当然对象的构造函数和析构函数调用时机和…...

智能家居1 -- 实现语音模块

项目整体框架: 监听线程4&#xff1a; 1. 语音监听线程:用于监听语音指令&#xff0c; 当有语音指令过来后&#xff0c; 通过消息队列的方式给消息处理线程发送指令 2. 网络监听线程&#xff1a;用于监听网络指令&#xff0c;当有网络指令过来后&#xff0c; 通过消息队列的方…...

Leetcode 3139. Minimum Cost to Equalize Array

Leetcode 3139. Minimum Cost to Equalize Array 1. 解题思路2. 代码实现 题目链接&#xff1a;3139. Minimum Cost to Equalize Array 1. 解题思路 这一题是一道hard的题目&#xff0c;而且看了一下答出率低的离谱&#xff0c;就一开始被吓到了&#xff0c;不过实际做了一下…...

【element-ui】el-table横向滚动后,通过is-scrolling-left获取滚动高度失效的问题

el-table横向滚动后&#xff0c;通过is-scrolling-left获取滚动高度失效的问题 需求 现在有一个需求&#xff0c;需要监听el-table的纵向滚动&#xff0c;当滚动高度达到特定值时进行一些操作。 代码如下&#xff1a; methods:{throttledHandleScroll() {// 如果已经有定时器…...

JAVA中的日期

获取当前的日期 LocalDate LocalDate today LocalDate.now();System.out.println("今天是:"today);//今天是:2024-05-06String format today.format(DateTimeFormatter.ofPattern("yyyy年MM月dd日"));System.out.println("今天是&#xff1a;"…...

一起了解开源自定义表单的优势表现

随着社会的进步和科技的发展&#xff0c;越来越多的中小企业希望采用更为先进的软件平台&#xff0c;助力企业实现高效率的流程化管理。低代码技术平台、开源自定义表单已经慢慢走入大众视野&#xff0c;成为一款灵活、高效的数字化转型工具。流辰信息专注于低代码技术平台的研…...

体育老师工资高吗,奖金有吗

教师的薪资水平与多种因素相关&#xff0c;包括教育经验、工作地点、学校类型以及个人的教学成果等。在讨论体育教师的工资问题时&#xff0c;不能仅仅关注数字&#xff0c;更应了解教育价值和个人发展。 初中体育教师的工资水平受多种因素影响。根据网络统计的数据&#xff0c…...

Linux驱动开发——(十一)INPUT子系统

目录 一、input子系统简介 二、input驱动API 2.1 input字符设备 2.2 input_dev结构体 2.3 上报输入事件 2.4 input_event结构体 三、代码 3.1 驱动代码 3.2 测试代码 四、平台测试 一、input子系统简介 input子系统是管理输入的子系统&#xff0c;和pinctrl、gpio子…...

大数据毕业设计Python+Django旅游景点评论数据采集分析可视化系统 NLP情感分析 LDA主题分析 bayes分类 旅游爬虫 旅游景点评论爬虫 机器学习 深度学习 人工智能 计算机毕业设计

毕业论文&#xff08;设计&#xff09;开题报告 学生姓名 学 号 所在学院 信息工程学院 专 业 指导教师姓名 指导教师职称 工程师 助教 指导教师单位 论文&#xff08;设计&#xff09;题目 基于朴素贝叶斯算法旅游景点线上评价情感分析 开 题 报 告…...

FSNotes for Mac v6.7.1中文激活版:强大的笔记管理工具

FSNotes for Mac是一款功能强大的文本处理与笔记管理工具&#xff0c;为Mac用户提供了一个直观、高效的笔记记录和整理平台。 FSNotes for Mac v6.7.1中文激活版下载 FSNotes支持Markdown语法&#xff0c;使用户能够轻松设置笔记格式并添加链接、图像等元素&#xff0c;实现笔记…...

课程34:Windows Docker部署.Net Core项目

这里写目录标题 🚀前言一、安装Docker Desktop1.1 官网下载Docker1.2 安装Docker1.2.1 选择配置,默认都勾选1.2.2 安装中1.2.3 安装成功1.2.4 启动1.2.5 启动成功二、.Net Core 项目发布与部署2.1 修改Dockerfile文件2.2 Web项目发布2.3 修改配置2.3.1 修改dockerfile<...

分布式与一致性协议之ZAB协议(四)

ZAB协议 ZooKeeper是如何选举领导者的。 首先我们来看看ZooKeeper是如何实现成员身份的&#xff1f; 在ZooKeeper中&#xff0c;成员状态是在QuorumPeer.java中实现的&#xff0c;为枚举型变量 public enum ServerState { LOOKING, FOLLOWING, LEADING, OBSERVING }其实&…...

在M1芯片安装鸿蒙闪退解决方法

在M1芯片安装鸿蒙闪退解决方法 前言下载鸿蒙系统安装完成后&#xff0c;在M1 Macos14上打开闪退解决办法接下来就是按照提示一步一步安装。 前言 重新安装macos系统后&#xff0c;再次下载鸿蒙开发软件&#xff0c;竟然发现打不开。 下载鸿蒙系统 下载地址&#xff1a;http…...

Linux基础-socket详解、TCP/UDP

文章目录 一、Socket 介绍二、Socket 通信模型三、Socket 常用函数1 创建套接字2 绑定套接字3、监听连接4、接受连接5、接收和发送数据接收数据发送数据 6、关闭套接字 四、Socket编程试验1、源码server.cclient.c 2、编译&#xff1a;3、执行结果 五、补充TCP和UDP协议的Socke…...

【菜单下拉效果】基于jquery实现二级菜单下拉效果(附完整源码下载)

Js菜单下拉特效目录 &#x1f354;涉及知识&#x1f964;写在前面实现效果&#x1f367;一、涉及知识&#x1f333;二、具体实现2.1 搭建一级菜单2.2 搭建二级菜单项2.3 引入js文件2.4 构建CSS文件 &#x1f40b;三、源码获取&#x1f305; 作者寄语 &#x1f354;涉及知识 ht…...

如何使用resource-counter统计跨Amazon区域的不同类型资源数量

关于resource-counter resource-counter是一款功能强大的命令行工具&#xff0c;该工具基于纯Python 3开发&#xff0c;可以帮助广大研究人员跨Amazon区域统计不同类型资源的数量。 该工具在统计完不同区域的各类资源数量后&#xff0c;可以在命令行中输出并显示统计结果。res…...

nextTick的作用与原理

在 Vue 中&#xff0c;nextTick允许我们延迟执行一段代码&#xff0c;直到 Vue完成其当前的 DOM 更新周期。这使得我们可以在 DOM 更新后安全地访问和修改 DOM 元素。 一、Vue 的异步更新策略 Vue 采用了一种称为异步更新策略的机制。这意味着当数据发生变化时&#xff0c;Vue…...

mybatis工程需要的pom.xml,以及@Data 、@BeforeEach、@AfterEach 的使用,简化mybatis

对 “mybatis - XxxMapper.java接口中方法的参数 和 返回值类型&#xff0c;怎样在 XxxMapper.xml 中配置的问题” 这篇文章做一下优化 这个pom.xml文件&#xff0c;就是上面说的这篇文章的父工程的pom.xml&#xff0c;即&#xff1a;下面这个pom.xml 是可以拿来就用的 <?…...

微信小程序demo-----制作文章专栏

前言&#xff1a;不管我们要做什么种类的小程序都涉及到宣传或者扩展其他业务&#xff0c;我们就可以制作一个文章专栏的页面&#xff0c;实现点击一个专栏跳转到相应的页面&#xff0c;页面可以有科普类的知识或者其他&#xff0c;然后页面下方可以自由发挥&#xff0c;添加联…...

Linux migrate_type初步探索

1、基础知识 我们都知道Linux内存组织管理结构架构&#xff0c;顶层是struct pglist_data&#xff0c;然后再到struct zone&#xff0c;最后是struct page。大概的管理结构是这样的&#xff1a; 根据物理内存的地址范围可划分不同的zone&#xff0c;每个zone里的内存由buddy…...

i.MX 6ULL 裸机 IAR 环境安装

一. IAR 的安装请自行搜索 二. 使用最新版本的 IAR&#xff0c;需要修改 SDK 1. 在 SDK 的 core_ca7.h 加上 #include "intrinsics.h" /* IAR Intrinsics */ 2. debug 时需要修改每个工程下的 ddr_init.jlinkscript&#xff0c;参考链接 Solved: How to conn…...

cmake进阶:文件操作

一. 简介 前面几篇文章学习了 cmake的文件操作&#xff0c;写文件&#xff0c;读文件。文章如下&#xff1a; cmake进阶&#xff1a;文件操作之写文件-CSDN博客 cmake进阶&#xff1a;文件操作之读文件-CSDN博客 本文继续学习文件操作。主要学习 文件重命名&#xff0c;删…...

在UI界面中播放视频_unity基础开发教程

在UI界面中播放视频_unity基础开发教程 前言操作步骤结语 前言 之前我写过一篇在场景中播放视频的文章&#xff0c;但是在开发中有时候也会在UI的界面中播放视频&#xff0c;这期我们做一下在UI的界面中播放视频。 操作步骤 首先在场景中创建一个Raw Image&#xff0c;UI->…...

TypeScipt 联合类型 | 号的使用

联合类型有两种使用方法&#xff1a; 一种类型中多个可能的值。具有多种不同的类型中的一种。 一种类型中多个可能的值。 type isAye true | false;const aye:isAye true; const aye1:isAye false; const aye2:isAye 3; // Type number is not assignable to type isAye…...

网站前台页面的设计与实现/网站运营课程

今天我们来解决经典问题“鸡兔同笼”。 《孙子算经》 中有这样的文字&#xff1a; 今有雉兔同笼&#xff0c;上有三十五头&#xff0c;下有九十四足&#xff0c;问雉兔各几何? 翻译现代文就是&#xff1a; 鸡兔同笼&#xff0c;共有35个头&#xff0c;有94只脚&#xff0c;问鸡…...

类qq留言网站建设/网站建设平台官网

首先要考虑递归的方向。题目要求&#xff0c;如果有多种方案&#xff0c;选择底数和n1…nk最大的方案&#xff1b;如果还有多重方案&#xff0c;那么选择底数序列的字典序最大的方案。 因此从大到小递减来遍历&#xff0c;保证字典序大的序列优先被选中。 两条分支&#xff1a…...

iis怎么做网站/互联网营销师培训大纲

作者&#xff1a;知白守黑 链接&#xff1a;https://www.zhihu.com/question/541712707/answer/2952902775 来源&#xff1a;知乎 著作权归作者所有。商业转载请联系作者获得授权&#xff0c;非商业转载请注明出处。 如今的安全团队如同彩虹一般&#xff0c;有红队、蓝队、以…...

网站建设平台硬件要求/win7优化大师官方免费下载

文章目录正则替换正则替换 function convertNumToThousand(num){num ;if(num.indexOf(.) -1){//不带小数点的情况//将数字转化的字符串反转var str num.split().reverse().join(),len num.split().length;//每3位加一个千分位逗号convertStr str.replace(/(\d{3})/g,$1,)…...

网页设计图片轮播切换/吉林seo推广

请回答一下AMD和Intel的CPU各自的优缺点是什么&#xff1f;有人说AMD适合游戏&#xff0c;Intel适合办公等&#xff0c;这是为什么&#xff1f;比如各自的工艺构造等有什么特点&#xff01;再对两个品牌的CPU特点介绍一下&#xff01; 回答一&#xff1a;这是很早之前的说法了。…...

深圳网站建设深圳网络公司/什么是百度推广

问题背景 有一天我们的UI设计师找到我说&#xff0c;要把页面中我自己用程序写的动画&#xff0c;换成他们给的json动画&#xff0c;原因是有的动画很复杂&#xff0c;自己写起来达不到他们的预期效果&#xff08;写到这里我突然想到一个问题&#xff0c;这么复杂的动画为什么不…...