当前位置: 首页 > news >正文

LLC开关电源开发:第四节,LLC软件设计报告

LLC源代码链接

数控全桥LLC开发板软件设计报告 

  • 1. LLC硬件及软件框架
  • 2. LLC软件设计
    • 2.1 工程文件说明
    • 2.2 LLC中断设计
      • 2.2.1 20us中断
      • 2.2.2 5ms中断
    • 2.3 LLC状态机设计
      • 2.3.1 初始化状态
      • 2.3.2 空闲状态
      • 2.3.3 软启动状态
      • 2.3.4 正常运行状态
      • 2.3.5 故障状态
    • 2.4 环路设计
      • 2.4.1 环路架构
      • 2.4.2 Burst控制
    • 2.5 故障和保护设计
      • 2.5.1 谐振电流保护
      • 2.5.2 过压保护
      • 2.5.3 过流保护
      • 2.5.4 欠压保护
  • 3. LLC软件设计计算书

LLC源代码链接

1. LLC硬件及软件框架

LLC控制硬件与软件架构
LLC主功率为全桥结构,PWM1A/B用以驱动全桥的低边与高边MOS管。控制器采样输出电流电压信号,环路计算实现输出不同输出电压电流的控制;采样滑动变阻器电压值,用以设定输出参考电压;比较器获取LLC原边电流,实现电流过大(电流异常)时快速关闭PWM,快速保护机器不损坏。

2. LLC软件设计

2.1 工程文件说明

文件名 介绍
main.c 主函数:主要用以配置初始化
ISR1.c 20us中断函数
ISR1.h 20us中断函数头文件
ISR2.c 5ms中断函数
ISR2.h 5ms中断函数头文件
Function.c 功能函数
Function.h 功能函数头文件
ISR1.c函数定义说明:
函数名 介绍
ISR_20US () 20us中断函数入口
ADCSample() 输出电压、电流、温度等采样函数
VILoopCtl() PI电压电流环函数
VILoopCtl2P3Z() 两零三极电压电流环函数
BurstCtl() Burst控制函数(轻载或空载情况)
RegReflash() PWM寄存器更新函数
FastProtection() 快速保护函数
ISR2.c函数定义说明:
函数名 介绍
ISR_200Hz 5ms中断函数入口
SlowP() 慢速保护函数
StateM() LLC状态机
VrefGet() 输出电压参考值获取函数
LEDShow() 状态显示灯控制函数
Function.c函数定义说明:
函数名 介绍
StateMInit () 初始化状态函数
StateMWait () 等待状态函数
StateMRise () 软启动状态函数
StateMRun () 正常运行状态函数
StateMErr () 故障状态函数
ValInit () 参数初始化函数
HwOpp() 谐振电流过大保护
SwOCP () 输出过流保护函数
SwUVP () 输出欠压保护函数
SwOVP () 输出过压保护函数
ShortOff () 输出短路保护
PWMEn() 开启PWM函数
PWMDis() 关闭PWM函数

2.2 LLC中断设计

程序运行有20us中断和5ms中断。其中20us中断优先级最高,主要对模块相关参数采样、电压电流环路计算及PWM寄存器更新、Burst控制、快速保护功能等;5ms中断优先级为较低,主要执行状态机运行和一些辅助保护功能。

2.2.1 20us中断

函数名: ISR_20US ()
软件在20us中断中对输出电压、输出电流、滑动变阻器电压等参数进行采样和求平均。根据所采样的电流电压值进行环路计算得到PWM周期量(频率量),并更新PWM周期寄存器。在空载或轻载的情况下使用Burst控制(打嗝控制)。同时比较器检测原边电流,当原边谐振电流较大时,比较器翻转,通过Tz信号快速关闭PWM,保护机器。

20us中断函数流程图

2.2.2 5ms中断

函数名:ISR_200Hz()
5ms中断优先级较低,运行包括LLC状态机,输出过压保护、输出过流保护、欠压保护等功能,获取滑动变阻器电压值转换成输出参考电压,同时根据LLC工作状态变更状态显示灯,其程序流程图如下图所示。

200Hz中断程序流程图

2.3 LLC状态机设计

LLC程序状态机运行周期为5mS,包括初始化状态、等待状态、软启动状态、正常运行状态、故障状态,各个状态之间跳转条件如下图所示。

状态机运行图
状态跳转条件如下:
 初始化状态至空闲状态:上电程序初始化后跳转。
 空闲状态至软启动状态:等待1.5S后自动跳转。
 软启动状态至正常运行状态:正常启动结束后。
 软启动状态至故障状态:启动过程中发生故障或保护。
 正常运行状态至故障状态:正常运行过程中发生故障或保护。
 故障状态至空闲状态:故障消除后自动跳转,模块自动恢复启动。

2.3.1 初始化状态

函数名:void StateMInit (void)
初上电程序进入初始化状态,完成程序运行相关参数的初始化配置,包括关闭PWM,关闭Burst功能,故障标志位清零,初始化输出电压参考为11.5V,设定输出最大限流值为4.5A。程序完成初始化后状态机进入空闲状态。

2.3.2 空闲状态

函数名:void StateMWait (void)
程序等待1.5秒后(等待母线上电后电压稳定),若故障标志位都为0(模块无故障),则状态至软启动状态,并初始化软启动子状态机标志位。

2.3.3 软启动状态

函数名:void StateMRise (void)
程序软启动状态机采用子状态机设计,由初始化、等待、启动、启动完成四个子状态构成。初始化阶段,设定LLC原边电流保护值,PWM的限定启动周期量(频率值),输出参考电压初始值。随后进入等待状态等待100ms,开启Burst功能和以最高频率发波。在软启动过程中,为了抑制启动过程原变谐振电流过大,启动从最高频率(最小周期量)开始启动,以最大死区启动,每隔5ms最大周期量限制值逐渐增加,即LLC运行的最低频率逐渐降低,死区逐渐减小。当PWM的最大周期量增加至MAX_PD,即对应的35kHz时,同时死区达到最小时,软启动结束,状态机跳转至运行状态。

软启动程序流程图

2.3.4 正常运行状态

函数名:void StateMRun (void)
该状态机内程序不处理任何内容,环路运行和各种保护通过中断函数处理

2.3.5 故障状态

函数名:void StateMErr (void)
当模块发生故障或需要保护时,如过压过流或过温保护等时,模块进入保护状态,关闭PWM和Burst功能,待故障清除后跳转至等待状态重新启动。

2.4 环路设计

2.4.1 环路架构

模块环路具有电流环和电压环两个环路,两个环路为内外环模式(电压环为快速环路,电流环为慢速环路)。正常在限流值范围内,电压环为主要工作环路,电流环为饱和输出状态(即电流环输出为零),电压环路的参考电压值为恒定值;当输出电流大于限流值时,电流环路开始动作,电流环路输出正量,通过去减小电压环路参考电压的方式,使输出电压降低,电流下降。

环路结构

2.4.2 Burst控制

函数名:BurstCtl ()
当模块输出等效负载太轻,LLC工作于最高频率输出依然不能达到参考设定的电流和电压,控制环路进入Burst控制模式。即当LLC控制频率率大于设定的最高频率时,关闭PWM;当小于最高频率时,重新打开PWM。
Burst控制程序流程图

2.5 故障和保护设计

2.5.1 谐振电流保护

函数名:HwOpp ()
当输出短路、突加大负载、会造成模块原边谐振电流瞬间快速增大。为防止瞬间增大的原边电流对功率半导体的电流击穿或瞬态结温过热,立即关闭PWM抑制原边电流快速增大。谐振电流保护采用控制器自身内设比较器设计,原边电流送进内设比较器的正输入端,控制器内部DAC产生比较器的负端比较参考值。当原边电流过大达到DAC设定的参考电压时,内设比较器输出发生翻转,该信号瞬间快速触发PWM的Tz(one shot)端,立即关闭PWM,Tz标志位置位。

2.5.2 过压保护

函数名:SwOVP ()
当检测到输出电压大于30.8V,且连续保持100ms,则判断为输出过压,关闭PWM和Burst功能,同时过压标志位置位,状态机跳转至故障状态。

2.5.3 过流保护

函数名:SwOCP()
当检测到输出电流大于4.5A,且连续保持500ms,则判断为输出过流,关闭PWM和Burst功能,同时过流标志位置位,状态机跳转至故障状态。当检测到过流标志位置位后(发生过流保护后),程序等待4秒后,清除过流状态位,等待重新启动。当连续发生10次过流重启失败后,判断为输出侧严重故障,禁止清除标志位,禁止再次重启。

2.5.4 欠压保护

函数名:SwUVP ()
LLC正处于正常运行状态,当检测到输出电压小于10.8V,且连续保持100ms,则判断为输出欠压,关闭PWM和Burst功能,同时欠压保护标志位置位,状态机跳转至故障状态。
2.6 状态显示灯设计
状态显示描述如下:
绿灯 黄灯 红灯 状态描述
灭 灭 灭 机器掉电
亮 亮 亮 初始化状态/等待状态
亮 亮 灭 软启动过程
亮 灭 灭 正常运行状态
灭 灭 亮 故障或保护状态

3. LLC软件设计计算书

在这里插入图片描述
LLC源代码链接

相关文章:

LLC开关电源开发:第四节,LLC软件设计报告

LLC源代码链接 数控全桥LLC开发板软件设计报告  1. LLC硬件及软件框架2. LLC软件设计2.1 工程文件说明2.2 LLC中断设计2.2.1 20us中断2.2.2 5ms中断 2.3 LLC状态机设计2.3.1 初始化状态2.3.2 空闲状态2.3.3 软启动状态2.3.4 正常运行状态2.3.5 故障状态 2.4 环路设计2.4.1 环路…...

力扣85.最大矩形

力扣85.最大矩形 遍历所有行作为底边 做求矩形面积&#xff08;84. class Solution {public:int maximalRectangle(vector<vector<char>>& matrix) {if (matrix.empty()) return 0;int n matrix.size(),m matrix[0].size();int res0;vector<int> li…...

和琪宝的厦门之旅~

本作品采用知识共享署名-非商业性使用-相同方式共享 4.0 国际许可协议进行许可。 本作品 (李兆龙 博文, 由 李兆龙 创作)&#xff0c;由 李兆龙 确认&#xff0c;转载请注明版权。 引言 承接去年国庆的遗憾&#xff0c;我们将这次的旅行城市定为厦门。 琪宝是下午四点左右到…...

4、MFC:菜单栏、工具栏与状态栏

菜单栏、工具栏与状态栏 1、菜单栏1.1 简介1.2 创建属性设置菜单消息成员函数 1.3 实例 2、工具栏2.1 简介工具栏属性2.2 创建消息CToolBar类的主要成员函数 2.3 实例 3、状态栏3.1 简介3.2 创建CStatusBar类状态栏创建 3.3 实例 1、菜单栏 1.1 简介 菜单在界面设计中是经常使…...

Java中的动态代理:原理与应用

Java中的动态代理&#xff1a;原理与应用 大家好&#xff0c;我是免费搭建查券返利机器人省钱赚佣金就用微赚淘客系统3.0的小编&#xff0c;也是冬天不穿秋裤&#xff0c;天冷也要风度的程序猿&#xff01; 在Java开发中&#xff0c;动态代理是一种强大且灵活的技术&#xff…...

DataWhale - 吃瓜教程学习笔记(二)

学习视频&#xff1a;第3章-一元线性回归_哔哩哔哩_bilibili 西瓜书对应章节&#xff1a; 3.1 - 3.2 一元线性回归 - 最小二乘法 - 极大似然估计 - 梯度 多元函数的一阶导数 - 海塞矩阵 多元函数的二阶导数 - 机器学习三要素...

[保姆级教程]uniapp自定义标签页切换组件

文章目录 导文样式改成动态列表切换点击效果加上点击自动滑动scroll-view加上切换组件效果 导文 unaipp自带的标签页和ui设计相差太大&#xff0c;直接修改组件比手写一个还麻烦&#xff0c;下面手写一个。 样式 先用scroll-view做一个滑动&#xff0c;不然多的话滑动不了。 &l…...

4种典型家庭教育方式,无论开始是哪一种,都会过渡到最后一种

家庭教育&#xff0c;是孩子教育的一个重要组成部分&#xff0c;事实上是对孩子影响最大的一种教育方式&#xff0c;绝大部分家庭教育都是由孩子的父母来完成的。 家庭教育的特点 家庭教育具有很明显的启蒙性、长期性、全面性。 1.启蒙性。我们的孩子对外部世界的认识和了解&am…...

[Django学习]查询过滤器(lookup types)

1.exact exact用于精确匹配字段的值。适用于需要精确查找某个字段值的场景。 Book.objects.filter(title__exactHarry Potter) 上面的查询会查找标题完全为“Harry Potter”的书籍。 2.iexact iexact忽略大小写地精确匹配字段的值。适用于需要忽略大小写进行精确匹配的场…...

异步开发的终极答案—协程

我们在之前的文章中讲过,在并发场景下,传统的基于多线程的命令式开发模型虽然比较简单,但并发数高了之后资源占用较高,大量线程会阻塞;而响应式编程模式我们可以通过异步化处理提升系统资源的利用效率,但异步开发有违人的直觉,门槛比较高。作为成年人,我们肯定希望全都…...

构建高效的大数据量延迟任务调度平台

目录 引言系统需求分析系统架构设计 总体架构任务调度模块任务存储模块任务执行模块 任务调度算法 时间轮算法优先级队列分布式锁 数据存储方案 关系型数据库NoSQL数据库混合存储方案 容错和高可用性 主从复制数据备份与恢复故障转移 性能优化 水平扩展缓存机制异步处理 监控与…...

Python武器库开发-武器库篇之ThinkPHP 2.x 任意代码执行漏洞(六十三)

Python武器库开发-武器库篇之ThinkPHP 2.x 任意代码执行漏洞&#xff08;六十三&#xff09; PHP代码审计简介 PHP代码审计是指对PHP程序进行安全审计&#xff0c;以发现潜在的安全漏洞和风险。PHP是一种流行的服务器端脚本语言&#xff0c;广泛用于开发网站和Web应用程序。由…...

SQLite数据库(数据库和链表双向转换)

文章目录 SQLite数据库一、SQLite简介1、SQLite和MySQL2、基于嵌入式的数据库 二、SQLite数据库安装三、SQLite的常用命令四、SQLite的编程操作1、SQLite数据库相关API&#xff08;1&#xff09;头文件&#xff08;2&#xff09;sqlite3_open()&#xff08;3&#xff09;sqlite…...

React框架的来龙去脉,react的技术原理及技术难点和要点,小白的进阶之路

React 框架的来龙去脉&#xff1a;技术原理及技术难点和要点 1. React 的起源与发展 React 是由 Facebook 开发的一个用于构建用户界面的 JavaScript 库。它最初由 Jordan Walke 创建&#xff0c;并在 2013 年开源。React 的出现是为了解决在大型应用中管理复杂用户界面的问题…...

CPU飙升100%怎么办?字节跳动面试官告诉你答案!

小北说在前面 CPU占用率突然飙升是技术人员常遇到的一个棘手问题&#xff0c;它是一个与具体技术无关的普遍挑战。 这个问题可以很简单&#xff0c;也可以相当复杂。 有时候&#xff0c;只是一个死循环在作祟。 有时候&#xff0c;是死锁导致的。 有时候&#xff0c;代码中有…...

物理层(二)

2.2 传输介质 2.2.1 双绞线、同轴电缆、光纤和无线传输介质 传输介质也称传输媒体&#xff0c;是数据传输系统中发送器和接收器之间的物理通路。传输介质可分为:①导向传输介质&#xff0c;指铜线或光纤等&#xff0c;电磁波被导向为沿着固体介质传播:②)非导向传输介质&…...

C#——文件读取IO操作File类详情

文件读取操作 IO类 就是对应文件的操作的类I/O类 包含各种不同的类 用于执行各种文件操作&#xff0c;创建文件删除文件读写文件 常用的类: File处理文件操作的类 FilleStream用于文件当中任何位置的读写 File类 1.文件创建 File.Create() 在指定路径下创建…...

昨天gitee网站访问不了,开始以为电脑哪里有问题了

昨天gitee网站下午访问不了&#xff0c;开始以为是什么毛病。 结果同样的网络&#xff0c;手机是可以访问的。 当然就ping www.gitee.com 结果也下面那样是正常的 以为是好的&#xff0c;但就是访问www.gitee.com也是不行&#xff0c;后来用阿里云的服务器curl访问是下面情况&…...

深入理解适配器模式:Java实现与框架应用

适配器模式是一种结构型设计模式&#xff0c;它允许将一个类的接口转换成客户端希望的另一个接口。适配器模式使得原本由于接口不兼容而不能一起工作的类可以协同工作。在本篇博客中&#xff0c;我们将详细介绍适配器模式&#xff0c;并演示如何在Java中实现它。最后&#xff0…...

跌倒识别:守护公共安全的AI技术应用场景-免费API调用

随着科技的不断进步&#xff0c;人工智能在各个领域的应用日益广泛&#xff0c;其中在公共安全领域&#xff0c;智能跌倒识别系统正逐渐成为守护人们安全的重要工具。本文将分享智能跌倒识别系统在不同场景下的应用及其重要性。 产品在线体验地址-API调用或本地化部署 AI算法模…...

算法:渐进记号的含义及时间复杂度计算

渐进记号及时间复杂度计算 渐近符号渐近记号 Ω \Omega Ω渐进记号 Θ \Theta Θ渐进记号小 ο \omicron ο渐进记号小 ω \omega ω渐进记号大 O \Omicron O常见的时间复杂度关系 时间复杂度计算&#xff1a;递归方程代入法迭代法套用公式法 渐近符号 渐近记号 Ω \Omega Ω …...

idea导入文件里面的子模块maven未识别处理解决办法

1、File → Project Structure → 点击“Modules” → 点击“” → “Import Model” 2、可以看到很多子模块&#xff0c;选择子模块下的 pom.xml 文件导入一个一个点累死了&#xff0c;父目录下也没有pom文件 解决办法&#xff1a;找到子模块中有一个pom.xml文件&#xff0c;…...

IOS Swift 从入门到精通:协议和扩展

文章目录 协议协议继承扩展协议扩展面向协议的编程总结&#xff1a; 今天你将学习一些真正的 Swifty 功能&#xff1a;协议和面向协议的编程&#xff08;POP&#xff09;。 POP 摒弃了庞大而复杂的继承层次结构&#xff0c;代之以更小、更简单、可以组合在一起的协议。这确实应…...

Vue插件开发:Vue.js的插件架构允许开发者扩展Vue的核心功能,我们可以探讨如何开发一个Vue插件并与社区分享

了解Vue插件 Vue插件的概念: Vue插件用于为Vue.js添加全局级别的功能。它提供了一种开箱即用的机制来应用全局性的功能扩展。这些插件通常用来将全局方法或属性,组件选项,Vue实例的方法,或者注入一些组件选项比如mixins和自定义方法添加至Vue.js。 Vue插件的使用场景:…...

学习面向对象前--Java基础练习题

前言 写给所有一起努力学习Java的朋友们&#xff0c;敲代码本身其实是我们梳理逻辑的一个过程。我们在学习Java代码的过程中&#xff0c;除了需要学习Java的一些基本操作及使用&#xff0c;更重要的是我们需要培养好的逻辑思维。逻辑梳理好之后&#xff0c;我们编写代码实现需要…...

用Python实现抖音新作品监控助手,实时获取博主动态

声明&#xff1a; 本文以教学为基准、本文提供的可操作性不得用于任何商业用途和违法违规场景。本人对任何原因在使用本人中提供的代码和策略时可能对用户自己或他人造成的任何形式的损失和伤害不承担责任。包含关注&#xff0c;点赞等 该项目的主要功能是通过Python代码&…...

图像分隔和深度成像技术为什么受市场欢迎-数字孪生技术和物联网智能汽车技术的大爆发?分析一下图像技术的前生后世

图像分隔和深度成像是计算机视觉和图像处理领域的两项重要技术&#xff0c;它们各自有不同的技术基础和要点。 图像分隔技术基础&#xff1a; 机器学习和模式识别&#xff1a; 图像分隔通常依赖于机器学习算法&#xff0c;如支持向量机&#xff08;SVM&#xff09;、随机森林…...

Redis 内存策略

一、Redis 内存回收 Redis 之所以性能强&#xff0c;最主要的原因就是基于内存存储。然而单节点的 Redis 其内存大小不宜过大&#xff0c;会影响持久化或主从同步性能。 我们可以通过修改配置文件来设置 Redis 的最大内存&#xff1a; # 格式&#xff1a; # maxmemory <byt…...

Java小实验————斗地主

早期使用的JavaSE用到的技术栈有&#xff1a;Map集合,数组&#xff0c;set集合&#xff0c;只是简单实现了斗地主的模拟阶段&#xff0c;感兴趣的小伙伴可以调试增加功能 代码如下&#xff1a; import java.util.*;public class Poker {public static void main(String[] arg…...

【Oracle】Linux 卸载重装 oracle 教程(如何清理干净残留)系统 CentOS7.6

总览 1.停止监听 2.删除 Oracle 数据库实例 3.删除 Oracle 相关服务 4.删除 Oracle 服务脚本 5.清理 Oracle 软件和配置文件 6.强制卸载 Oracle 软件包 一、开始干活&#xff08;所有操作使用 root 权限&#xff0c;在 root 用户下执行&#xff09; 1.停止监听 lsnrctl sto…...

web中间件漏洞-Jenkins漏洞-弱口令、反弹shell

web中间件漏洞-Jenkins漏洞-弱口令、反弹shell Jenkins弱口令 默认用户一般为jenkins/jenkins 使用admin/admin123登陆成功 Jenkins反弹shell 格式为 println"命令".execute().text 在/tmp目录中生成shell.sh文件&#xff0c;并向其中写入反弹shell的语句 new…...

Linux开发讲课9--- Linux的IPC机制-内存映射(Memory Mapping)

Linux的IPC&#xff08;Inter-Process Communication&#xff0c;进程间通信&#xff09;机制是多个进程之间相互沟通的方法&#xff0c;它允许不同进程之间传播或交换信息。Linux支持多种IPC方式&#xff0c;包括但不限于&#xff1a; 管道&#xff08;Pipe&#xff09;&#…...

Java赋值运算符

Java赋值运算符分为以下&#xff1a; 符号 作用 说明 赋值 int a 10,把10赋值给变量a 加后赋值 ab,将ab的值赋值给变量a - 减后赋值 a-b,将a-b的值赋值给变量a* 乘后赋值 a*b,将a*b的值赋值给变量a / 除后赋值 a/b,将a/b的值赋值给变量a % 取余赋值 a%b,将a%b的值赋值给变量…...

Qt做群控系统

群控系统顾名思义&#xff0c;一台设备控制多台机器。首先我们来创造下界面。我们通过QT UI设计界面。设计界面如下&#xff1a; 登录界面&#xff1a; 登录界面分为两种角色&#xff0c;一种是管理员&#xff0c;另一种是超级管理员。两种用户的主界面是不同的。通过选中记住…...

【专业英语 复习】第10章 Information System

1. 单选题 (1分) An example of this type of report would be a sales report that shows that certain items are selling significantly above or below forecasts. () A. Inventory B. Demand C. Periodic D. Exception 正确答案&#xff1a; D 这种类型的报…...

09-axios在Vue中的导入与配置

09-axios 前言首先简单了解什么是Axios&#xff1f;以上完成后就可以使用了 前言 我们接着上一篇文章 08-路由地址的数据获取 来讲。 下一篇文章 10-vuex在Vue中的导入与配置 首先简单了解什么是Axios&#xff1f; Axios是一个基于Promise 用于浏览器和 nodejs 的 HTTP 客户端…...

odoo17 小变更4

odoo17 小变更4 1、代码中去除了访问私人地址权限,但翻译中均还有,怪不 model:res.groups,name:base.group_private_addresses msgid "Access to Private Addresses" msgstr "" 代码也查看了,的确没有了此权限组 --><record model="res.g…...

Flink assignTimestampsAndWatermarks 深度解析:时间语义与水印生成

目录 概述 时间语义 时间戳分配 水印的作用 最佳实践 案例分析 注意事项 应用场景 概述 在Apache Flink中,assignTimestampsAndWatermarks是一个重要的方法,它允许数据流处理程序根据事件时间(event time)分配时间戳和生成水印(watermarks)。这个方法通常用于处理…...

C++排序算法——合并有序数组

合并有序数组 思路 我们可以设想一个排序的函数 这个函数里 我们有三个while while(第一次的执行条件) {先进行第一次的合并 } while(第二次的合并条件) { 把a数组在第一次没有排序上的给加进去 }while(第三次的合并条件) { 把b数组在第一次没有排序上的给加进去 }看完了这个…...

安装pytorch环境

安装&#xff1a;Anaconda3 通过命令行查显卡nvidia-smi 打开Anacanda prompt 新建 conda create -n pytorch python3.6 在Previous PyTorch Versions | PyTorch选择1.70&#xff0c;安装成功&#xff0c;但torch.cuda.is_available 返回false conda install pytorch1.7.0…...

内卷从古到今就一直存在,并不是近年的“新物”,破局在于你是否有意识地学习。

一.背景&#xff1a; 反思自己过去从学生时代到职场时代。“内卷”其实已经一直存在&#xff0c;从古到今都一直存在&#xff0c;也并不是近几年产出的“新物”。已经连续5年高考人数在1000万以上&#xff0c;而今年1300多万达到新高&#xff0c;对于竞争压力如此之大&#xf…...

跟《经济学人》学英文:2024年6月15日这期 The war for AI talent is heating up

The war for AI talent is heating up Big tech firms scramble to fill gaps as brain drain sets in 争夺人工智能人才的战争正在升温 随着人才流失的到来&#xff0c;大型科技公司争相填补空缺 brain drain&#xff1a;人才流失 scramble&#xff1a;争夺&#xff1b;争…...

港湾周评|高盛眼中的618增长

《港湾商业观察》李镭 年中最重要的购物节618终于尘埃落定了。2024年的618各大电商平台竞技情况如何&#xff1f;又有哪些新的亮点&#xff1f;都成为外界观察消费行为的参考指标。 根据京东618数据显示&#xff1a;累计成交额过10亿的品牌83个&#xff0c;超15万个中小商家销…...

SPSS知识

特点 SPSS的一些特点&#xff1a; 分析结果清晰、直观&#xff1a;SPSS提供了丰富的图表和表格&#xff0c;可以帮助用户直观地理解数据分析的结果。分析结果通常包含详细的统计量、图形和文本描述&#xff0c;使得分析结果易于解释。 易学易用&#xff1a;SPSS的用户界面设计…...

【网络安全的神秘世界】关于Linux中一些好玩的字符游戏

&#x1f31d;博客主页&#xff1a;泥菩萨 &#x1f496;专栏&#xff1a;Linux探索之旅 | 网络安全的神秘世界 | 专接本 | 每天学会一个渗透测试工具 佛祖保佑 把 motd 通过xtp拖到Linux中 liyangUbuntu2204:~$ cp motd /etc/motd #一定要放在etc下 liyangUbuntu2204:~$ exi…...

【LeetCode】Hot100:验证二叉搜索树

给你一个二叉树的根节点 root &#xff0c;判断其是否是一个有效的二叉搜索树。 有效 二叉搜索树定义如下&#xff1a; 节点的左子树 只包含 小于 当前节点的数。 节点的右子树只包含 大于 当前节点的数。 所有左子树和右子树自身必须也是二叉搜索树。 英文题目 Given the root…...

[Qt] Qt Creator 编译输出乱码,问题页中的报错、警告内容,编译输出乱码

确保文件编码为"UTF-8"&#xff0c;"如果编码是UTF-8则添加"&#xff0c;如下图&#xff1a; 设置IDE环境语言跟随系统语言&#xff0c;Text codec for tools&#xff1a; "System" 瑞斯拜...

sed

1、sed的定义 sed是一种流编辑器&#xff0c;按行处理&#xff0c;一次处理一行内容 处理方式&#xff1a;如果只是展示&#xff0c;会放在缓冲区&#xff08;模式空间&#xff09;&#xff0c;展示结束后&#xff0c;会从模式空间把操作结果删除 一行一行处理&#xff0c;处…...

C++一文讲透thread中的detach和join的差别

提示&#xff1a;文章写完后&#xff0c;目录可以自动生成&#xff0c;如何生成可参考右边的帮助文档 文章目录 前言一、thread详解二、线程何时运行三、线程启动方式1.join2.detach 总结 前言 无论哪种语言线程在绝大多数项目中都是会用到的&#xff0c;C也一样&#xff0c;C…...

当Windows台式电脑或笔记本电脑随机关机时,请先从这8个方面检查

序言 你的Windows笔记本电脑或PC是否意外关闭?笔记本电脑电池故障、电源线松动、过热、电源设置错误、驱动程序过时或电脑组件故障等问题都可能是罪魁祸首。如果你对这个问题感到沮丧,试试这些解决方案。 进行一些初步检查 与从电池中获取电力的笔记本电脑不同,台式电脑依…...