当前位置: 首页 > news >正文

SV学习笔记(七)

文章目录

  • 类型转换
    • 写在前面
    • 动态转换
    • 子类句柄赋值于父类句柄
    • 父类句柄转换为子类句柄
  • 虚方法
    • 写在前面
    • 非虚函数的调用
    • 虚函数的调用
    • 虚方法的建议
    • 为什么使用虚方法
  • 对象拷贝
    • 写在前面
    • 赋值和拷贝
    • 总结
  • 回调函数
    • 写在前面
    • 实例
    • 完成回调函数功能需要三步:
  • 参数化类
    • 写在前面
    • 实现一个简化的mailbox
  • 参考资料

类型转换

写在前面

  • 类型转换可以分为 静态转换和动态转换 。
  • 静态转换即需要在转换的表达式前 加上单引号 即可,该方式并不会对转换值做检查。如果发生转换失败,我们也无从得知。
  • 动态转换即需要使用 系统函数$cast(tgt, src) 做转换。
  • 静态转换和动态转换均需要操作符号或者系统函数介入,统称为 显式转换 。
  • 不需要显式操作的转换,我们称之为 隐式转换 。例如赋值语句右侧是4位的矢量,而左侧是5位的矢量,隐式转换会先做位宽扩展(隐式),然后再做赋值。

动态转换

  • 当我们使用类的时候, 类句柄的向下转换 ,即从父类句柄转换为子类句柄时, 需要 使用$cast() 函数 进行转换, 否则会出现编译错误,这一步也是编译器的保护措施,防止用户出现错误的赋值。
  • 如果将子类句柄赋值给父类句柄时,编译器则认为赋值是合法的,但分别 利用子类句柄和父类句柄调用相同对象的成员时,将可能有不同的表现 。

子类句柄赋值于父类句柄

class Transaction;rand bit[31:0] src;function void display(input string prefix="");$display("%s Transaction: src=%0d", prefix, src);endfunction
endclassclass BadTr extends Transaction;bit bad_crc;function void display(input string prefix="");$display("%s BadTr: bad_crc=%b", prefix, bad_crc);super.display(prefix);endfunction
endclassTransaction tr;
BadTr bad, bad2;

Transaction tr;
BadTr bad;
bad=new() ; //构建BadTr扩展对象
tr=bad; //基类句柄指向扩展对象
$display(tr.src) ; //显示基类对象的变量成员
tr.display() ; //??
  • tr.display() ; 调用的是父类的display。

父类句柄转换为子类句柄

tr=new() ; //创建一个父类对象
bad=tr; //ERROR:编译错误,即便使用cast进行转换,虽然解决编译错误,但是返回值为0,bad仍为空句柄。
$display(bad.bad_crc) ; //bad_crc成员不在父类对象中
  • 将一个父类句柄赋值给一个子类句柄并不总是非法的。
  • 但是SV编译器对这种直接赋值的做法是禁止的,也就是说无论父类句柄是否真正指向了一个子类对象,赋值给子类句柄时,编译(静态)都将出现错误。
  • 因此需要$cast(tgt, src) 来实现句柄类型的动态转换。
  • $cast(tgt, src) 会检查句柄所指向的对象类型, 而不仅仅检查句柄本身。
  • 一旦源对象跟目的句柄是同一类型,或者是目的句柄的扩展类,$cast() 函数执行即会成功, 返回1, 否贝返回0。

bad=new(); //创建Bad Tr子对象
tr=bad;   //父类句柄指向子类对象
//动态类型转换, 检查tr的源对象是否是bad 2类型或者其子类
//如果转换失败,将报告错误信息
if(!$cast(bad2, tr))$display("cannot assign tr to bad2");$display(bad2.bad_crc); //bad 2指向的对象包含band_src成员
bad2.display() ; //??
  • bad2.display() ; 调用子类的方法。

虚方法

写在前面

class basic_test;int fin;int def = 100;function new() ;$display("basic_test::new") ;endfunctiontask test() ;$display("basic_test::test") ;endtask
endclassclass test_wr extends basic_test;int def = 200;function new() ;super.new() ;$display("test_wr::new") ;endfunctiontask test() ;super.test() ;$display("test_wr::test") ;endtask
endclass
  • 类的继承是从 继承成员变量和成员方法 两个方面。
  • 从例码中可以看到test_wr类和test_rd类分别继承了basic_test类的成员变量以及成员方法。
  • 除了介绍的类的封装和继承,关于类的 多态性(polymorphism) 也是必须关注的。
  • 正是由于类的多态性,使得用户在设计和实现类时, 不需要担心句柄指向的对象类型是父类还是子类,只要通过虚方法,就可以实现动态绑定(dynamic binding) , 或者在SV中称之为动态方法查找(dynamic method lookup) 。

非虚函数的调用

basic_test t;
test_wr wr;
initial beginwr=new() ;t=wr;$display("wr test starts") ;wr.test() ;$display("wr test ends") ;$display("t test starts") ;t.test() ;$display("t test ends") ;
end//输出结果:
// wr test starts
// basic_test::test
// test_wr::test
// wr test ends
// t test starts
// basic_test::test
// t test ends
  • 首先, 在执行wr.test() 时, 由于wr类型为test_wr, 则索引到的test() 应该为test_wr类的方法test。
  • 同时, 由于在test_wr::test中显式调用了super.test() , 则会先执行basic_test::test, 然后再执行test_wr::test中其余的代码。
  • 这里值得注意的是, 默认情况下, 子类覆盖(override) 的方法并不会继承父类同名的方法, 而只有通过super.method()的方式显式执行,才会达到继承父类方法的效果,初学SV的用户容易在这里混淆方法覆盖和类继承的概念。
  • 当wr对象的句柄传递给t后, 由于t本身是basic_test类, 所以,在执行t.test时, t只会搜寻basic_test::test方法。
  • (多态的问题是什么?在句柄传递中,子类句柄赋值给父类句柄,调用同名函数时,子类句柄调用的是子类函数,父类句柄调用的是父类函数,即便把子类句柄赋值给了父类句柄,也无法通过父类句柄调用子类函数)

虚函数的调用

  • 我们将已经在编译阶段就可以确定下来调用方法所处作用域的方式称之为静态绑定(static binding) , 而与之相对的是动态绑定。
  • 动态绑定指的是,在调用方法时,会 在运行时来确定句柄指向对象的类型,再动态指向应该调用的方法
  • 为了实现动态绑定, 我们将basic_test::test定义为虚方法。
class basic_test;...virtual task test(stm_ini ini) ;$display("basic_test::test") ;endtask...
endclass//wr test starts只做了这么一个改动以后,我们重复运行之前的测试代码,可以看到运行结果变为:
// wr test starts
// basic_test::test
// test_wr::test
// wr test ends
// t test start.s
// basic_test::test
// test_wr::test
// t test ends
  • 由于声明了basic_test::test为虚方法, 系统在执行t.test时,会检查t所指向对象的类型为test_wr类, 进而调用test_wr::test。
  • 于是, 输出结果与调用wr.test一致。
  • 我们就可以通过虚方法的使用来实现类成员方法调用时的动态查找,用户无需担心使用的是父类句柄还是子类句柄,因为最终都会实现动态方法查找,执行正确的方法。

虚方法的建议

  • 在为父类定义方法时,如果该方法 日后可能会被覆盖或者继承 ,那么应该声明为虚方法。
  • 虚方法如果要定义,应该 尽量定义在底层父类中 。这是因为如果virtual是声明在类继承关系的中间层类中, 那么只有从该中间类到其子类的调用链中会遵循动态查找,而最底层类到该中间类的方法调用仍然会遵循静态查找。
  • 虚方法 通过virtual声明, 只需要声明一次即可 。例如上面代码中,只需要将basic_test::test声明为virtual, 而 其子类则无需再次声明 ,当然再次声明来表明该方法的特性也是可以的。
  • 方法的继承也需要 遵循相同的参数和返回类型 ,否则,子类定义的方法须归为同名不同参的其它方法。

为什么使用虚方法

  • 首先:当 父类指针指向子类对象 ,如果不将test函数声明为虚函数,最终调用的是父类test函数;如果将test函数声明为虚函数,那么调用的是子类test函数。
  • 为什么要用父类指针指向子类对象呢?用子类指针指向子类对象不好吗?

虽然这样说,但是实际开发过程中不是这样的,当我们使用一些类库、框架的时候,这些类库、框架是事先就写好的。我们在使用的时候不能直接修改类库的源码,我们只能派生类库中的类来覆盖一些成员函数以实现我们的功能,但这些成员函数有的是由框架调用的。这种情况下,用虚函数是很好的办法。

NND,这不就是C++吗?

对象拷贝

写在前面

  • 对于拷贝(copy) , 对象的拷贝要比其它SV的变量类型都让人“当心”。
  • 因为就SV普通的变量拷贝而言,只需要通过赋值操作符“=”就足够了。
  • 而对象的拷贝则无法通过“=”来实现,因为这一操作是句柄的赋值,而不是对象的拷贝。
test_wr h;
initial beginwr = new();h = wr;$display("wr.def=%Od", wr.def);$display("h.def=%0d", h.def);h.def = 300;$display("wr.def=%Od", wr.def);$display("h.def=%0d", h.def);
end
//输出结果:
// wr.def = 200
// h.def = 200
// wr.def = 300
// h.def = 300

  • 在h=wr之后,由于是句柄的赋值,所以h.def=300的操作, 实际上是对这两个句柄指向的共同对象做的成员变量赋值。所以,从最终打印的结果可以看出,wr.def与h.def的值相同。
  • 那么如果要拷贝对象,指的是首先创建一个新的对象(开辟新的空间),再将目标对象的成员变量值拷贝给新对象的成员,这就使得新对象与目标对象的成员变量数值保持一致,即完成了对象的拷贝(成员变量的拷贝)。
  • 初学者需要区别句柄拷贝与对象拷贝的区别。

软件来说,我们可能称呼的是值拷贝与引用拷贝

class basic_test; //父类...virtual function void copy_data(basic_test t) ;t.def=def;t.fin=fin;endfunctionvirtual function basic_test copy() ;basic_test t=new(0) ; //创建父类对象copy_data(t) ;return t;endfunction
endclassclass test_wr extends basic_test; //子类...function void copy_data(basic_test t) ;test_wr h;super.copy_data(t) ;$cast(h, t); //关键代码,句柄转化h.def=def;endfunctionfunction basic_test copy() ;test_wr t=new() ; //创建子类对象copy_data(t) ;return t;endfunction
endclass
module tb;....test_wr wr; //子类test_wr h; //子类initial beginwr=new() ;$cast(h, wr.copy()); //copy会返回父类句柄,所以这里将父类句柄转化为子类句柄$display("wr.def=%0d", wr.def);$display("h.def=%0d", h.def);h.def=300;$display("wr.def=%0d", wr.def);$display("h.def=%0d", h.def);end...
endmodule//输出结果:
// wr.def=200
// h.def=200
// wr.def=200
// h.def=300

赋值和拷贝

声明变量和创建对象是两个过程,也可以一步完成。

Packet pl;
p1=new;

如果将p1赋值给另外一个变量p2,那么依然只有一个对象,只是指向这个对象的句柄有p1和p2.

以下这种方式表示p1和p2代表两个不同的对象。

在创建p2对象时, 将从p 1拷贝其成员变量例如integer、string和句柄等, 该种拷贝方式称为浅拷贝(shallowcopy) 。

Packet pl;
Packet p2;
p1=new;
p2=new p1;

总结

  • 将成员拷贝函数copy_data() 和新对象生成函数copy() 分为两个方法,这样使得子类继承和方法复用较为容易。
  • 为了保证父类和子类的成员均可以完成拷贝,将拷贝方法声明为虚方法,且遵循只拷贝该类的域成员的原则,父类的成员拷贝应由父类的拷贝方法完成。
  • 在实现copy_data() 过程中应该注意句柄的类型转换, 保证转换后的句柄可以访问类成员变量。

回调函数

写在前面

  • 理想的验证环境是在被移植做水平复用或者垂直复用时,应当尽可能少地修改模块验证环境本身,只在外部做少量的配置,或者定制化修改就可以嵌入到新的环境中。
  • 要做到这一点,一方面我们可以通过顶层环境的配置对象自顶向下进行配置参数传递,另外一方面我们可以在测试程序不修改原始类的情况下注入新的代码。
  • 例如, 当我们需要修改stimulator的行为时, 有两种选择, 一个是修改父类,但针对父类的会传播到其它子类;另外一个选择是,在父类定义方法时,预留回调函数入口,使得在继承的子类中填充回调函数,就可以完成对父类方法的修改。

实例

  • 示意:
virtual class Driver_cbs; //Driver回调虚类virtual task pre_tx(ref Transaction tr, ref bit drop) ;//默认不做操作endtaskvirtual task post_tx(ref Transaction tr) ;//默认不做操作endtask
endclass
  • 实例:
class Driver;Driver_ebs cbs[$];task run() ;bit drop;Transaction tr;forever begindrop=0;agt2drv.get(tr) ;foreach(ebs[il) cbs[il.pre_tx(tr, drop) ;if(drop) continue;transmit(tr) ;foreach(cbs[il) cbs[i] .post_tx(tr) ;endendtask
endclassclass Driver_cbs_drop extends Driver_abs;virtual task pre_tx(ref Transaction tr, ref bit drop) ;//1/100的传输事务丢弃概率drop=($urandom range(0, 99) ==0) ;endtask
endclassprogram automatic test;Environment env;initial beginenv=new() ;env.gen_cfg() ;env.build() ;begin//创建回调对象井且植入driverDriver_abs_drop dcd=new() ;env.drv.cbs.push_back(dcd) ;endenv.run() ;env.wrap_up();end
endprogram

完成回调函数功能需要三步:

  • 预留回调函数入口
  • 定义回调的类和回调函数
  • 例化和添加回调的类

参数化类

写在前面

  • 参数化的使用是为了提高代码的复用率。
  • 无论是设计还是验证,如果代码会被更多的人使用或者被更多的项目所采用,那么就需要考虑使用参数来提高复用率。
  • 参数的使用越合理,后期维护的成本就会相应降低。
  • 在硬件设计中,参数往往是整型,例如端口数目或者位宽。在验证环境中,参数的使用更加灵活,可以使用各种类型来做类定义时的参数。
  • 在SV中,可以为类增加若干个数据类型参数,并在声明类句柄的时候指定类型。
  • SV的类参数化近似于C++中的模板。

实现一个简化的mailbox

class mailbox;local int queue[$] ;task put(input int i) ;queue.push_back(i) ;endtasktask get(ref int o) ;wait(queue.size() >0) ;o=queue·pop_front() ;endtasktask peek(ref int o) ;wait(queue.size() >0) ;o=queue[0] ;endtask
endclass
  • 这个简化的mailbox的问题在于它只能用于操作整数类型。
  • 如果要存储real类型, 或者某一个类的句柄,那么就得复制该类,然后将数据类型由int类型转换为real类型或者某一个类的类型。
  • 这样将导致类的快速增长,而且是重复代码的大规模增长,代码维护将变得冗长乏味而且还容易出错。
class mailbox #(type T=int) ;local T queue[$] ;task put(input T i) ;queue.push_back(i) ;endtasktask get(ref T o) ;wait(queue.size() >0) ;o=queue.pop_front() ;endtasktask peek(ref T o) ;wait(queue.size() >0) ;o=queue[Ol;endtask
endclassinitial beginreal o;mailbox #(real) mb; //创建一个存储real类型的mailboxmb=new() ;for(inti=0; i<5; i++)mb.put(i*2.0) ; //将real值存储入mbfor(inti=0; i<5; i++)mb.get(o) ; //从mb取出real值
end
  • 在类定义时添加参数#(typeT=int) , 这表示后期类在声明变量时如果不指定参数类型, 则默认采用int类型。
  • 将原代码int用参数T来代替。
  • 参数化的类将可以在后期例化时使用不同的参数,以此来存储不同的数据类型。

参考资料

  • Wenhui’s Rotten Pen
  • SystemVerilog
  • chipverify

相关文章:

SV学习笔记(七)

文章目录 类型转换写在前面动态转换子类句柄赋值于父类句柄父类句柄转换为子类句柄 虚方法写在前面非虚函数的调用虚函数的调用虚方法的建议为什么使用虚方法 对象拷贝写在前面赋值和拷贝总结 回调函数写在前面实例完成回调函数功能需要三步&#xff1a; 参数化类写在前面实现一…...

Windows SDK(五)按钮静态文本与编辑框控件

我们首先应该知道&#xff0c;所谓按钮静态文本等等控件都是窗口&#xff0c;他们都是隶属于父窗口下的子窗口&#xff0c;所 以在创建控件前&#xff0c;我们要首先创建一个父窗口&#xff0c;此处我们直接使用Windows桌面程序创建时&#xff0c;程 序自动为我们创建的一个窗…...

基于SSM框架实现的在线心理评测与咨询系统(技术栈 spring+springmvc+mybatis+jsp+jquery+css)

一、项目简介 本项目是一套基于SSM框架实现的在线心理评测与咨询系统&#xff0c;主要针对计算机相关专业的正在做毕设的学生与需要项目实战练习的Java学习者。 包含&#xff1a;项目源码、数据库脚本等&#xff0c;该项目附带全部源码可作为毕设使用。 项目都经过严格调试&am…...

GD32F470_ DS18B20温度传感器模块移植

DS18B20温度传感器 DS18B20数字温度传感器提供9位至12位精度的温度测量&#xff0c;并具有非易失性用户可编程上下触发点报警功能。DS18B20通过单总线通信&#xff0c;根据定义&#xff0c;只需要一条数据线(和地线)即可与单片机通信。此外&#xff0c;DS18B20可以直接从数据线…...

【JAVASE】带你了解instanceof和equals的魅力

✅作者简介&#xff1a;大家好&#xff0c;我是橘橙黄又青&#xff0c;一个想要与大家共同进步的男人&#x1f609;&#x1f609; &#x1f34e;个人主页&#xff1a;再无B&#xff5e;U&#xff5e;G-CSDN博客 1.instanceof instanceof 是 Java 的保留关键字。它的作用是测试…...

【Linux】进程控制详解

目录 前言 进程创建 认识fork 写时拷贝 再谈fork 进程终止 进程退出码 用代码来终止进程 常见的进程终止的方式 exit _exit 进程等待 进程等待的必要性 进程等待的方式 wait waitpid 详解status参数 详解option参数 前言 本文适合有一点基础的人看的&#…...

Mysql 高性能的sql优化方案和建议

优化MySQL的性能是一项复杂而关键的任务&#xff0c;它可以通过多种方式来实现。下面是一些SQL优化的方案和建议&#xff1a; 索引优化&#xff1a; 确保经常查询的列都有索引。但不要过度索引&#xff0c;因为它可能会增加写入操作的开销。使用组合索引来覆盖多个查询条件。…...

鸿蒙实战开发:【实现应用悬浮窗】

如果你要做的是系统级别的悬浮窗&#xff0c;就需要判断是否具备悬浮窗权限。然而这又不是一个标准的动态权限&#xff0c;你需要兼容各种奇葩机型的悬浮窗权限判断。 fun checkPermission(context: Context): Boolean if (Build.VERSION.SDK_INT < Build.VERSION_CODES.M)…...

应用开发:python解析斗鱼弹幕

解决问题 互动弹幕&#xff0c;关注提问 &#xff0c;ai回答 技术 python playwright 调用接口 https://github.com/broven/DouYudanmu/blob/master/douyu.py 演示 放弃 这个根本不是研究方向 定位错误 你浪费下午时间&#xff0c;定位错误 这个跟本不是你的方向。 4个小时看斗…...

【面试经典150 | 动态规划】交错字符串

文章目录 写在前面Tag题目来源解题思路方法一&#xff1a;动态规划 写在最后 写在前面 本专栏专注于分析与讲解【面试经典150】算法&#xff0c;两到三天更新一篇文章&#xff0c;欢迎催更…… 专栏内容以分析题目为主&#xff0c;并附带一些对于本题涉及到的数据结构等内容进行…...

设计模式(17):中介者模式

核心&#xff1a; 如果一个系统中对象之间的联系呈现网状结构&#xff0c;对象之间存在大量多对多关系&#xff0c;导致关系及其复杂&#xff0c;这些对象称为“同事对象”。我们可以引入一个中介者对象&#xff0c;使各个同事对象只跟中介者对象打交道&#xff0c;将复杂的网…...

echart 折线图或散点图当横坐标为小数位时,若想显示整数该如何处理?

如图当前是这样的&#xff1a; 横坐标刻度目前是小数位&#xff0c;如果直接将小数位取整则会失去精度&#xff0c;所以我们要做的是刻度即是整数&#xff0c;又能显示小数位对应的数值&#xff1b; 思路就是直接手动设置刻度&#xff1a;设置xAxis的min,max,splitNumber,同时不…...

一套C#自主版权+应用案例的手麻系统源码

手术麻醉信息管理系统源码&#xff0c;自主版权应用案例的手麻系统源码 手术麻醉信息管理系统包含了患者从预约申请手术到术前、术中、术后的流程控制。手术麻醉信息管理系统主要是由监护设备数据采集子系统和麻醉临床系统两个子部分组成。包括从手术申请到手术分配&#xff0c…...

31.2k star, 免费开源的白板绘图工具 tldraw

31.2k star, 免费开源的白板绘图工具 tldraw 分类 开源分享 项目名: tldraw -- 无限画布白板 Github 开源地址&#xff1a; https://github.com/tldraw/tldraw 在线测试地址&#xff1a; tldraw 文档地址&#xff1a; tldraw SDK tldraw 是一款开源免费的无限画布白板&…...

Redis开源协议调整,我们怎么办?

2024年3月20日, Redis官方宣布&#xff0c;从 Redis 7.4版本开始&#xff0c;Redis将获得源可用许可证 ( RSALv2 ) 和服务器端公共许可证 ( SSPLv1 ) 的双重许可&#xff0c;时间点恰逢刚刚完成最新一轮融资&#xff0c;宣布的时机耐人寻味。 Redis协议调整&#xff0c;对云计算…...

干了三年外包。。。忘了什么是CICD。。。

干了三年外包。。。忘了什么是CICD。。。 CI/CD(持续集成与持续交付) 是一种软件开发实践&#xff0c;它可以帮助我们更快地交付高质量的软件产品。CI/CD的核心思想是将软件开发过程中的各个阶段自动化&#xff0c;从而减少人工干预&#xff0c;提高开发效率和产品质量。本文将…...

【LeetCode】454. 四数相加 II

目录 题目 思路 代码 题目 题目链接&#xff1a;. - 力扣&#xff08;LeetCode&#xff09; 给你四个整数数组 nums1、nums2、nums3 和 nums4 &#xff0c;数组长度都是 n &#xff0c;请你计算有多少个元组 (i, j, k, l) 能满足&#xff1a; 0 < i, j, k, l < nnums1…...

搜索(DFS BFS)

DFS 常规DFS: 二叉树前序,中序&#xff0c;后序遍历-CSDN博客 void postorderTraversal(root)初始化一个空列表 arrfind访问总树(root,arr)return arrvoid find(temp, arr)if temp 为空return // 调用顺序由前中后序决定find递归访问左子树find递归访问右子树arr加入当前节点…...

koc和kol是什么意思?

一、koc和kol是什么意思&#xff1f; koc和kol是专业术语。KOC是关键意见消费者的意思&#xff0c;是Key Opinion Consumer的缩写&#xff1b;KOL是关键意见领袖的意思&#xff0c;是Key Opinion Leader的缩写。 1、关键意见领袖kol “关键意见领袖”通俗地讲是达人。这些人…...

基于vscode Arduino插件开发Arduino项目

基于vscode Arduino插件开发arduino项目 插件配置问题记录1. 指定编译输出文件夹2. 编译下载时不输出详细信息3. 输出端口信息乱码4. 通过串口输出中文&#xff0c;vscode对应的串口助手上会显示乱码&#xff08;未解决&#xff09; 插件配置 环境&#xff1a;Arduino插件版本…...

AI 驱动强大是视频转换处理软件

由 AI 驱动的视频工具包。 增强、转换、录制和编辑视频AI 驱动的顶级视频工具包。 不论是老旧、低质、噪声或模糊的影片/图像&#xff0c;都能升级至 4K&#xff0c;稳定抖动的影片&#xff0c;提升帧率至 120/240fps&#xff0c;并能以全面 GPU 加速进行转换、压缩、录制和编辑…...

Python+requests+Pytest+logging+allure+pymysql框架详解

一、框架目录结构 1)tools目录用来放公共方法存储,如发送接口以及读取测试数据的方法,响应断言 数据库断言 前置sql等方法;2)datas目录用例存储接口用例的测试数据,我是用excel来存储的数据,文件数据 图片数据等;3)testcases目录用来存放测试用例,一个python文件对应…...

菜鸟笔记-Numpy函数-full/random.randint/random.choice

full函数 numpy.full 是 NumPy 库中的一个函数&#xff0c;它用于创建一个具有指定形状、数据类型和填充值的数组。此函数非常有用&#xff0c;因为它允许你快速生成一个具有相同值的数组&#xff0c;而无需手动设置每个元素。 1函数介绍 numpy.full(shape, fill_value, dty…...

蓝桥杯每日一题:牛的学术圈I(二分,双指针)

由于对计算机科学的热爱&#xff0c;以及有朝一日成为 「Bessie 博士」的诱惑&#xff0c;奶牛 Bessie 开始攻读计算机科学博士学位。 经过一段时间的学术研究&#xff0c;她已经发表了 N篇论文&#xff0c;并且她的第 i 篇论文得到了来自其他研究文献的 ci次引用。 Bessie 听…...

fping命令

fping是一个用于网络扫描的工具&#xff0c;它可以在 Linux 系统上使用。fping可以发送 ICMP ECHO_REQUEST&#xff08;即 ping&#xff09;数据包到指定的网络地址范围&#xff0c;并等待响应。通过这种方式&#xff0c;fping可以用来检测哪些 IP 地址是活跃的。 可以测试多个…...

奇富科技推出新一代全自研智能语音模型,打破沟通壁垒

“您好&#xff01;请问是李先生噻&#xff1f;” 李先生刚接起电话&#xff0c;就被这熟悉的乡音逗乐了。这不是他所预料的常规客服&#xff0c;而是奇富科技新一代全自研智能语音模型——QI语精灵。这款模型不仅能用方言与人自然交流&#xff0c;还能在智能营销、贷后提醒、风…...

穿越代码之海:探寻结构体深层逻辑,展望未来应用新天地

欢迎来到白刘的领域 Miracle_86.-CSDN博客 系列专栏 C语言知识 先赞后看&#xff0c;已成习惯 创作不易&#xff0c;多多支持&#xff01; 结构体作为一种数据结构&#xff0c;其定义和特点决定了它在各种应用中的广泛适用性。随着科技的进步和新兴行业的不断涌现&#xf…...

layui框架实战案例(26):layui-carousel轮播组件添加多个Echarts图标的效果

在Layui中&#xff0c;使用layui-carousel轮播组件嵌套Echarts图表来实现多个图表的展示。 css层叠样式表 调整轮播图背景色为白色&#xff1b;调整当个Echarts图表显示loading…状态&#xff1b;同一个DIV轮播项目添加多个Echarts的 .layui-carousel {background-color: #f…...

Unity开发一个FPS游戏之三

在前面的两篇博客中&#xff0c;我已实现了一个FPS游戏的大部分功能&#xff0c;包括了第一人称的主角运动控制&#xff0c;武器射击以及敌人的智能行为。这里我将继续完善这个游戏&#xff0c;包括以下几个方面&#xff1a; 增加一个真实的游戏场景&#xff0c;模拟一个废弃的…...

NIUSHOP完美运营版商城 虚拟商品全功能商城 全能商城小程序 智慧商城系统 全品类百货商城

完美运营版商城/拼团/团购/秒杀/积分/砍价/实物商品/虚拟商品等全功能商城 干干净净 没有一丝多余收据 还没过手其他站 还没乱七八走的广告和后门 后台可以自由拖曳修改前端UI页面 还支持虚拟商品自动发货等功能 挺不错的一套源码 前端UNIAPP 后端PHP 一键部署版本 源码免费…...

开启wordpress mu/十大搜索引擎

1-数组的传递引用 /**数组引用传递*/ public class ArrayDemo04 {public static void main(String[] args) {//静态初始化数组int[] arr {1, 2, 3, 5, 9};//传递数组引用fun(arr);//输出结果for(int i0; i<arr.length; i){System.out.println(arr[i] ", ");}}//…...

深圳网站建设流程图/搜索引擎优化的特点

在乎一个不在乎你的人&#xff0c;心情就犹如今日的天气一样&#xff0c;低沉&#xff0c;不怪任何人&#xff0c;是自己不够优秀&#xff0c;加油&#xff01;&#xff01;&#xff01;转载于:https://www.cnblogs.com/mawei11/p/5519478.html...

php响应式网站开发教程/外链代发平台

时间&#xff1a;2018.12.26地点&#xff1a;北京国家会议中心 转载于:https://www.cnblogs.com/xuefeng1982/p/10335788.html...

wordpress建B2C/网络营销的常用方法有哪些

对于社会著名教育家&#xff0c;能有这种见解&#xff0c;实在令人可以茅塞顿开&#xff01; 拨云见雾&#xff1b; 大学毕业后&#xff0c;并不是教育的终结&#xff0c; 一句话了悟&#xff1b; 了悟然后继续进行&#xff0c; 直观的教育&#xff0c;个人教育&#xff1b; 把…...

关于电子商务网站建设与管理的论文/一起来看在线观看免费

在工业信息化行业&#xff0c;少不了生产可视化的模块&#xff0c;其中应用最多的是采用LED屏的方式&#xff0c;通过软件控制屏幕展示相关的生产计划完成状态&#xff0c;工位的状态&#xff0c;产线的运行状态&#xff0c;以及相关自动化设备的状态等&#xff0c;这就要求通信…...

网站上线前准备方案/百度商品推广平台

课程设计项目名称&#xff1a;基于flask的可视化动漫分析网站&#xff0c;如果你在学习Python的过程中&#xff0c;往往因为没有好的教程或者没人指导从而导致自己容易放弃&#xff0c;为此我建了个Python交流.裙 &#xff1a;一久武其而而流一思(数字的谐音)转换下可以找到了&…...