当前位置: 首页 > news >正文

51单片机之按键和数码管

在这里插入图片描述

51单片机之按键和数码管

  • ✍前言:
  • ♐独立按键
    • 😀独立按键的原理
    • 😀软件实现按键控制LED灯的亮灭
  • ♐数码管
    • 😊数码管显示数字或者字母的原理
      • 🐉共阳极数码管
      • 🐉共阴极极数码管
      • 🐉4位1体数码管
    • 😊静态数码管
    • 😊动态数码管
      • 🦑动态数码管的原理
      • 🦑延时的目的
      • 🦑消影处理
  • ♐矩阵键盘
    • 🛤️使用矩阵键盘控制数码管显示0~f
  • ⭕总结

✍前言:

在学习了如何使用51单片机控制LED之后,我们进一步来学习使用按键来控制LED。

♐独立按键

😀独立按键的原理

下图是我们普中单片机开发板A2的独立按键原理图:
可以看到之所以称之为独立按键,是因为每个按键单独占用一个I/O口。

在这里插入图片描述
默认的情况,我们的P31口由于有上拉电阻会输出一个高电平,当按下按键K1后,P31那条支路回导通,此时P31会输出低电平,看下面这张图片,它是51单片机的准双向IO口内部图,可以帮助你更好的理解上面那段话:

在这里插入图片描述
红色的框就是一个IO口,当按键没有按下,回路是一条断路,由于有VCC和上拉电阻的存在会输出高电平,但是当按键按下,按键回路导通,电压为0,所以输出一个低电平。这里提一嘴,单片机IO口输出的是电压。

通过软件编程判断与该按键对应的IO口的电平情况我们就可以完成一些功能。

😀软件实现按键控制LED灯的亮灭

先上代码:

#include"reg52.h"
typedef unsigned int u16;
typedef unsigned char u8;sbit k1 = P3^1;//设置按键K1对应的单片机IO口
sbit LED = P2^0;void delay(u16 i)
{while(i--);
}
void key_scan()//按键扫描
{if(0 == k1){delay(1000);//消抖,10msif(0 == k1){LED = ~LED;}while(!k1); }
}void main()
{while(1){key_scan();}
}

实验效果演示:

在这里插入图片描述
由于按键按下的时候,由于机械具有一定的弹性,它不会马上按下去,松开也是不会马上松开,而是会有5~10ms的抖动。

在这里插入图片描述

上述程序是传统的消抖方法,存在占用cpu过多的问题,如果小伙伴有兴趣,可以去学习一些更加优的消抖程序。

♐数码管

😊数码管显示数字或者字母的原理

我的开发板是八段的数码管,8段数码管是由字母a,b,c,d,e,f,g,dp八段组成的数码管,它比七段数码管多了一段也就是小数点(dp),8段对应8个LED,想要显示相应的字母或者数字,让相应的LED亮就可以了,我们主要介绍一下8段数码管。

8段数码管按照这8个LED共同接的是阴极还是阳极,又分为共阴数码管和共阳数码管,下面我们来借助原理图具体的介绍一下他们两个,它们显示不同的数字或者字符的段码(该字符或者数字的二进制代码)。

🐉共阳极数码管

在这里插入图片描述

阳极就是正极,共阳极意思就是标号a~dp的各个并联的支路是有一个共同的阳极,如果你希望某个位置亮就应该给对应IO口输出低电平。

下面我们给出对应的段码:以0来举例子:

在这里插入图片描述
理解了0的共阳极16进制码,其它的想必小伙伴们也能自己写出来,下面我们给出0~f的段码:

在这里插入图片描述

🐉共阴极极数码管

共阴和共阳对应,a~dp有一个共同的阴极。

在这里插入图片描述
我们以1为例子,给出1的段码推导:
在这里插入图片描述
0~f的共阴段码表为:

在这里插入图片描述

🐉4位1体数码管

我的开发板刚好就是共阴的,这是它的原理图:
在这里插入图片描述
红色的数字是网络标号,相同代表连在一起。

我们的板子的数码管采用的是4个数码管封装在一起的模式,简称四位一体,如果用正常的方式来控制它们就需要8个IO口,这太占用资源了,我们单片机一共就只有32个IO口,这里我们的板子用到了74138芯片,这种芯片使用3个IO口就可以控制8个IO口。

4位一体中的8段数码管共用共同的a~dp由P00~P07控制其值,我们通过改变P0改变码值。

在这里插入图片描述
通过上图我们可以得到,这个74138由单片机的P22、P23、P24三个IO口控制,通过控制这三个IO口的值,我们就可以控制哪个位置的数码管点亮,这是它的真值表:

在这里插入图片描述
A2是高位,A0是低位,这个74138芯片还有E1、E2、E3三个引脚,其中E1、E2上面有一个非的符号,表示低电平有效,E3表示高电平有效,如果没有正确设置E1、E2、E3,我们的输出LED1~LED8都是高电平,数码管是不会工作的,因为LED1~LED8实际上是数码管的阴极,它和它控制的数码管的a~dp位置都是并联的,每一个位置的LED方向已经确定(指向阴极),发光二极管的特性:正向导通反向截止。但是说了这么多E1、E2、E3都不用我们设置,板子在制的时候已经就接好了。

要怎么控制这个位码呢?A2A1A0是二进制数,它们十进制的值为i,Yi就为高电平取反就是它的输出,为低电平。与LEDi+1相连的数码管会显示对应的值。

上面的知识我们在软件编程里会用到的,通过给74HC138的A0~A3管脚对应的IO口赋值控制哪一个位点亮,然后通过给P0赋段码值,控制点亮的内容。

😊静态数码管

程序实现的功能:让最后一个数码管显示数字1

 #include "reg52.h" // 引入 8051 单片机的头文件typedef unsigned int u16; // 定义无符号整型变量 u16
typedef unsigned char u8; // 定义无符号字符型变量 u8sbit LSA = P2^2; // 定义 P2^2 引脚为 LSA
sbit LSB = P2^3; // 定义 P2^3 引脚为 LSB
sbit LSC = P2^4; // 定义 P2^4 引脚为 LSCu8 code smgduan[] = { 0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71};// 数码管段码数据表,0~fu8 code Digital_tube_number[][3] = {{0,0,0},{1,0,0},{0,1,0},{1,1,0},{0,0,1},{1,0,1},{0,1,1},{1,1,1}}; // 位码数据表// 数码管动态显示函数
void Dynamic_digital_tube(u8 wei,u8 duan)
{//设置数字或者字母显示的位置LSA =  Digital_tube_number[wei][0];LSB =  Digital_tube_number[wei][0];LSC =  Digital_tube_number[wei][0];P0 = smgduan[duan];//设置P0为相应的段码值,显示该数字或字母
}int main()
{while(1) // 主循环{Dynamic_digital_tube(0,1); // 调用动态数码管显示函数}return 0; // 返回 0,表示正常结束
}

效果演示:

在这里插入图片描述

这段代码相信大家很容易看懂,就是先设置位码,再设置段码。

😊动态数码管

程序实现的功能:让前面的几个数码管,同时显示数字5201314

🦑动态数码管的原理

相信大家会有疑惑,明明74LS138一次只能输出一个位置为低电平,如何让不同的位置同时显示数字呢?其实这和之前我们LED不能正常闪烁的原理是一样的,利用了人眼只能看到50HZ左右的变化,太快了人眼是无法观测到的,也就是说实际上是依次点亮的,但是对于我们的眼睛来说,就好像同时显示一样,由于数码管点亮的位置一直在变所以又叫做动态数码管。

#include "reg52.h" // 引入 8051 单片机的头文件typedef unsigned int u16; // 定义无符号整型变量 u16
typedef unsigned char u8; // 定义无符号字符型变量 u8sbit LSA = P2^2; // 定义 P2^2 引脚为 LSA
sbit LSB = P2^3; // 定义 P2^3 引脚为 LSB
sbit LSC = P2^4; // 定义 P2^4 引脚为 LSCu8 code smgduan[] = {0x06,0x4f,0x06,0x66,0x6d,0x5b,0x3f}; // 数码管段码数据表,1314520
u8 code Digital_tube_number[][3] = {{0,0,0},{1,0,0},{0,1,0},{1,1,0},{0,0,1},{1,0,1},{0,1,1},{1,1,1}}; // 位码数据表// 延时函数,参数 i1 为延时时间
void delay(u16 i1)
{while(i1--); // 空循环实现延时
}// 数码管动态显示函数
void Dynamic_digital_tube()
{u8 i;for(i = 7; i >= 1; --i) // 从 7 到 1 循环{LSA = Digital_tube_number[i][0]; // 设置 LSA 引脚的状态LSB = Digital_tube_number[i][1]; // 设置 LSB 引脚的状态LSC = Digital_tube_number[i][2]; // 设置 LSC 引脚的状态P0 = smgduan[7-i]; // 在数码管显示对应的段码数据delay(100); // 延时 1000微秒(1ms)P0 = 0x00; // 关闭所有段,熄灭数码管} 
}int main()
{while(1) // 主循环{Dynamic_digital_tube(); // 调用动态数码管显示函数}return 0; // 返回 0,表示正常结束
}

效果演示:

在这里插入图片描述

动态数码管模块的几个关键的解释:

🦑延时的目的

细心的小伙伴可能会发现,在我们的Dynamic_digital_tube函数中,在设置位选和段选之后,我们延时了1ms,可能你不理解为什么要这样去做,我们删除这个延时语句,看效果:

在这里插入图片描述
可以看到数字明显变暗了,可能原因是你程序执行的太快了,理想的二极管还没有稳定的导通,就已经将段码清零了。
在这里插入图片描述

注意不能延时的太长,否则数码管依次点亮的过程我们的眼睛就能察觉到了。

🦑消影处理

我们动态扫描程序执行的顺序是设置位码->设置段码->设置位码->设置段码。

如果在执行一次之后不把段码清0(都设置为低电平,让其什么都不显示),设置新的位码后,由于程序执行到设置新的段码需要一定的时间,新的数码管会显示之前的段码对应的数字,就会出现重影。

不消影的效果:

在这里插入图片描述

可以看到重影的情况还是非常严重,所以一个位置显示之后必须把段码设置为0x00,也就是让数码管什么都不显示。

♐矩阵键盘

有时候我们想使用很多按键,但是没有那么多IO口,这个时候就需要使用矩阵按键,它的1行或者一列的按键只需要一个IO口来控制。

通常一个键盘是由两个IO口控制的(行和列),一个IO口控制一行或者列。所以一个矩阵键盘有多少个键是由IO口决定的,即控制行的IO口 ∗ * 控制列的IO口。

在这里插入图片描述
我们的原理图就是采用的四行四列的模式。

那么问题来了,我们该如何判断是否有按键按下呢?我们以S1为例,来解释一下:

在这里插入图片描述

🛤️使用矩阵键盘控制数码管显示0~f

我们的程序是一行一行的点击按键依次显示0~f,你也可以按照一列一列的来点击按键依次显示0~F,更改一下keyValue值就可以。

#include "reg52.h" // 包含 8051 单片机的寄存器定义文件typedef unsigned int u16; // 定义无符号 16 位整数类型
typedef unsigned char u8; // 定义无符号 8 位整数类型sbit LSA = P2^2; // 数码管位选引脚
sbit LSB = P2^3; // 数码管位选引脚
sbit LSC = P2^4; // 数码管位选引脚#define GPIO_DIG P0 // 数码管的数据端口
#define GPIO_KEY P1 // 按键的端口u8 KeyValue = 16; // 按键值初始化为 16(用于标识未按下任何按键)
u8 code smgduan[] = {0x3f, 0x06, 0x5b, 0x4f, 0x66, 0x6d, 0x7d, 0x07, 0x7f, 0x6f, 0x77, 0x7c, 0x39, 0x5e, 0x79, 0x71}; // 数码管显示的数值对应的段码void delay(u16 i1) {while (i1--); // 延时函数
}// 检测按键函数
void KeyDown() {u8 a = 0;GPIO_KEY = 0x0f; // 设置高四位为低电平,用于检测按键if (GPIO_KEY != 0x0f) { // 如果按键有按下delay(1000); // 延时10msGPIO_KEY = 0x0f; // 再次设置高四位为低电平,用于检测按键的列位置if (GPIO_KEY != 0x0f) {switch (GPIO_KEY) {case (0x07): KeyValue = 0; break;case (0x0b): KeyValue = 1; break;case (0x0d): KeyValue = 2; break;case (0x0e): KeyValue = 3; break;}}GPIO_KEY = 0xf0; // 设置低四位为低电平,用于检测按键的行位置if (GPIO_KEY != 0x0f) {switch (GPIO_KEY) {case (0x70): KeyValue += 0; break;case (0xb0): KeyValue += 4; break;case (0xd0): KeyValue += 8; break;case (0xe0): KeyValue += 12; break;}}while (a < 50 && GPIO_KEY != 0xf0) { // 延时和检测按键松开delay(1000);++a;}}
}void main() {LSA = 0;LSB = 0;LSC = 0;GPIO_DIG = 0x00; // 初始化数码管和端口while (1) {KeyDown(); // 调用按键检测函数if (KeyValue >= 0 && KeyValue <= 15) // 检测按键值范围GPIO_DIG = smgduan[KeyValue]; // 在数码管显示按键对应的数字}
}

演示效果:

在这里插入图片描述

如果你希望一列一列的点击显示0~F,程序可以这样改:

#include "reg52.h" // 包含 8051 单片机的寄存器定义文件typedef unsigned int u16; // 定义无符号 16 位整数类型
typedef unsigned char u8; // 定义无符号 8 位整数类型sbit LSA = P2^2; // 数码管位选引脚
sbit LSB = P2^3; // 数码管位选引脚
sbit LSC = P2^4; // 数码管位选引脚#define GPIO_DIG P0 // 数码管的数据端口
#define GPIO_KEY P1 // 按键的端口u8 KeyValue = 16; // 按键值初始化为 16(用于标识未按下任何按键)
u8 code smgduan[] = {0x3f, 0x06, 0x5b, 0x4f, 0x66, 0x6d, 0x7d, 0x07, 0x7f, 0x6f, 0x77, 0x7c, 0x39, 0x5e, 0x79, 0x71}; // 数码管显示的数值对应的段码void delay(u16 i1) {while (i1--); // 延时函数
}// 检测按键函数
void KeyDown() {u8 a = 0;GPIO_KEY = 0x0f; // 设置高四位为低电平,用于检测按键if (GPIO_KEY != 0x0f) { // 如果按键有按下delay(1000); // 延时10msGPIO_KEY = 0x0f; // 再次设置高四位为低电平,用于检测列if (GPIO_KEY != 0x0f) {KeyValue = 0;//先初始化一下KeyValueswitch (GPIO_KEY) {case (0x07): KeyValue = 0; break;case (0x0b): KeyValue = 4; break;case (0x0d): KeyValue = 8; break;case (0x0e): KeyValue = 12; break;}}GPIO_KEY = 0xf0; // 设置低四位为低电平,用于检测行if (GPIO_KEY != 0x0f) {switch (GPIO_KEY) {case (0x70): KeyValue += 0; break;case (0xb0): KeyValue += 1; break;case (0xd0): KeyValue += 2; break;case (0xe0): KeyValue += 3; break;}}while (a < 50 && GPIO_KEY != 0xf0) { // 检测按键松开delay(1000);++a;}}
}void main() {LSA = 0;LSB = 0;LSC = 0;GPIO_DIG = 0x00; // 初始化数码管和端口while (1) {KeyDown(); // 调用按键检测函数if (KeyValue >= 0 && KeyValue <= 15) // 检测按键值范围GPIO_DIG = smgduan[KeyValue]; // 在数码管显示按键对应的数字}
}

效果演示:

在这里插入图片描述

最后提一嘴,软件里给矩阵键盘的IO口设置合适的值,可以将其一行或者一列当成独立按键来使用哦(判断方法也和独立按键判断的方法相似)。

⭕总结

本篇博客主要讲了按键和数码管的相关知识,下面是关于它的思维导图,欢迎大家提出建议和指出不足指出谢谢,希望本篇博客对小伙伴有所帮助。

在这里插入图片描述

相关文章:

51单片机之按键和数码管

51单片机之按键和数码管 ✍前言&#xff1a;♐独立按键&#x1f600;独立按键的原理&#x1f600;软件实现按键控制LED灯的亮灭 ♐数码管&#x1f60a;数码管显示数字或者字母的原理&#x1f409;共阳极数码管&#x1f409;共阴极极数码管&#x1f409;4位1体数码管 &#x1f6…...

【Oracle】 - 数据库的实例、表空间、用户、表之间关系

Oracle是一种广泛使用的关系型数据库管理系统&#xff0c;它具有高性能、高可靠性、高安全性等特点。1Oracle数据库的结构和组成是一个复杂而又有趣的话题&#xff0c;本文将介绍Oracle数据库的四个基本概念&#xff1a;数据库、实例、表空间和用户&#xff0c;以及它们之间的关…...

ssm基于HTML5的交流论坛的设计与实现+vue论文

摘 要 信息数据从传统到当代&#xff0c;是一直在变革当中&#xff0c;突如其来的互联网让传统的信息管理看到了革命性的曙光&#xff0c;因为传统信息管理从时效性&#xff0c;还是安全性&#xff0c;还是可操作性等各个方面来讲&#xff0c;遇到了互联网时代才发现能补上自古…...

JDBC*

*JDBC数据库连接步骤 1.将JDBC驱动的jar添加到项目的依赖中。 2.加载JDBC驱动 例如&#xff1a; Class.forName("com.mysql.jdbc.Driver"); 3.连接数据库 例如&#xff1a; Connection con DriverManager.getConnection(URL,us…...

Zookeeper注册中心实战

Java学习手册面试指南&#xff1a;https://javaxiaobear.cn Spring Cloud Zookeeper通过自动配置和绑定到 Spring 环境和其他 Spring 编程模型习惯用法&#xff0c;为 Spring Boot 应用程序提供Apache Zookeeper集成。通过一些简单的注释&#xff0c;您可以快速启用和配置应用…...

1-02VS的安装与测试

一、概述 对于一名C语言程序员而言&#xff0c;进行C语言程序的开发一般需要一个文本编辑器加上一个编译器就足够了。但为了方便起见&#xff0c;我们选择使用集成开发环境——Visual Studio&#xff08;简称VS&#xff09;。安装Visual Studio 下面讲一下如何安装VS&#xff0…...

ctfshow——PHP特性

文章目录 web 89web 90web 91web 92web 93web 94web 95web 96web 97web 98web 99web 100——优先级、eval()用法web 101——RefelctionClass反射类web 102——php伪协议、hex2bin()web103web 104——sha1绕过web 105 web 89 使用人工分配 ID 键的数值型数组绕过preg_match. 两个…...

K8S陈述式资源管理

陈述式 命令行&#xff1a;kubectl命令行工具 优点&#xff1a;90%以上的场景都可以满足&#xff0c;对增&#xff0c;删&#xff0c;查比较方便&#xff0c;对改不是很友好 缺点&#xff1a;命令比较冗长&#xff0c;复杂&#xff0c;难记 声明式 k8s当中的yaml文件来实现资…...

详解Python内置函数 !!!

内置函数就是Python给你提供的, 拿来直接用的函数&#xff0c;比如print&#xff0c;input等。 文章目录 前言 一、和数字相关 1. 数据类型 2. 进制转换 3. 数学运算 二、和数据结构相关 1. 序列 2. 数据集合 3. 相关内置函数 三、和数据结构相关 四、和迭代器生成器相关 五、字…...

使用Vue3 + Vite创建uni-app项目(Webstorm)

使用Vue3 Vite创建uni-app项目&#xff08;Webstorm&#xff09; 参考&#xff1a;前端VUE3Vite UniAPP-- 框架搭建_uniapp vite-CSDN博客 // 参考github.com的库&#xff1a;https://github.com/dcloudio/uni-preset-vue npx degit dcloudio/uni-preset-vue#vite-ts vite-vu…...

【js】js实现多个视频连续播放:

文章目录 一、效果&#xff1a;二、实现&#xff1a;三、案例&#xff1a; 一、效果&#xff1a; 二、实现&#xff1a; <!DOCTYPE html> <html> <head><title>Video Player</title><style>#progressBar { width: 800px;height: 20px;b…...

使用openssl 生成pfx格式证书时报错:unable to load certificates

问题现象包如下&#xff1a; 之前在centos上使用openssl部署证书服务器以及颁发证书的时候遇到的问题&#xff0c;在进行个人证书生成之后需要形成pfx格式证书&#xff0c;结果过程中报错了。网上类似资料比较少&#xff0c;做个记录。 生成pfx格式证书的命令&#xff1a; o…...

微信小程序 分享按钮 监听用户分享成功

代码 <view><button class"btnLq ed flex justify-center" open-type"share" click"getAward">点击分享</button> </view>export default {data(){return{shareMd:false,//分享埋点}},onShow(){//if(this.shareMd){uni.…...

数据结构-怀化学院期末题

题目&#xff1a; 利用希尔排序算法实现线性表的排序。希尔排序是根据给定的增量序列将线性表分隔成某个“增量”的记录组成一个子序例&#xff0c;在子序列中采用直接插入排序完成。 输入 第一行为元素个数n(1<n<1000)&#xff0c;第二行为n个元素值(整数)&#xff0c;即…...

跟cherno手搓游戏引擎【1】:配置与入口点

环境配置&#xff1a; 编译环境&#xff1a;VS2019 创建两个项目&#xff1a; 设置Sandbox为启动项&#xff1a; 设置sandbox的配置属性-常规-输出目录\中间目录为如下&#xff1a; 预处理定义&#xff1a;为了配置一些只有windows才能用的函数。 设置YOTOEngin&#xff08;我…...

25计算机专业考研经验贴之准备篇

Hello各位小伙伴&#xff0c;大家新年好&#xff01; 马上就要进入寒假假期了&#xff0c;25考研也该提上日程了。今天先跟大家分享一下大家在假期可以先做起来的准备工作。 【选择学校】 择校是个非常重要的内容&#xff0c;因为不同学校的考试内容是不一样的&#xff0c;有些…...

机器人相关知识

机器人学&#xff08;Robotics) 一些基础概念 位姿 位姿位置姿态 位姿的表示 刚体 刚性物体是一组粒子的集合&#xff0c;其中任意两个粒子之间的距离保持固定&#xff0c;不受物体运动或施加在物体上的力的影响。 “完全不可变形”的物体就是刚体。 刚体位置 刚性连杆 …...

八股文打卡day22——操作系统(5)

面试题&#xff1a;什么是死锁&#xff1f;如何避免死锁&#xff1f; 我的回答&#xff1a; 死锁是两个或者多个进程都占有各自的资源&#xff0c;然后都互相请求资源&#xff0c;导致互相都陷入了阻塞状态。 如何避免死锁呢&#xff1f; 首先&#xff0c;造成死锁有四个必要…...

SQL Server 权限管理

CSDN 成就一亿技术人&#xff01; 2024年 第一篇 难度指数&#xff1a;* * CSDN 成就一亿技术人&#xff01; 目录 1. 权限管理 什么是权限管理&#xff1f; SQL server的安全机制 服务器级角色 数据库级角色 对象级角色 2. 创建用户 赋予权限 最重要的一步骤 1. 权限…...

ReentrantLock底层原理学习一

J.U.C 简介 Java.util.concurrent 是在并发编程中比较常用的工具类&#xff0c;里面包含很多用来在并发场景中使用的组件。比如线程池、阻塞队列、计时器、同步器、并发集合等等。并发包的作者是大名鼎鼎的 Doug Lea。我们在接下来的课程中&#xff0c;回去剖析一些经典的比较…...

数字孪生在增强现实(AR)中的应用

数字孪生在增强现实&#xff08;Augmented Reality&#xff0c;AR&#xff09;中的应用可以提供更丰富、交互性更强的现实世界增强体验。以下是数字孪生在AR中的一些应用&#xff0c;希望对大家有所帮助。北京木奇移动技术有限公司&#xff0c;专业的软件外包开发公司&#xff…...

【数据仓库与联机分析处理】多维数据模型

目录 一、数据立方体 二、数据模型 &#xff08;一&#xff09;星形模型 &#xff08;二&#xff09;雪花模式 &#xff08;三&#xff09;事实星座模式 三、多维数据模型中的OLAP操作 &#xff08;一&#xff09;下钻 &#xff08;二&#xff09;上卷 &#xff08;三…...

【网络面试(3)】浏览器委托协议栈完成消息的收发

前面的博客中&#xff0c;提到过很多次&#xff0c;浏览器作为应用程序&#xff0c;本身是不具备向网络中发送网络请求的能力&#xff0c;要委托操作系统的内核协议栈来完成。协议栈再调用网卡驱动&#xff0c;通过网卡将请求消息发送出去&#xff0c;本篇博客就来探讨一下这个…...

Kotlin: Jetpack — ViewModel简单应用

ViewModel 概览 Android Jetpack 的一部分。 ViewModel 类是一种业务逻辑或屏幕级状态容器。它用于将状态公开给界面&#xff0c;以及封装相关的业务逻辑。 它的主要优点是&#xff0c;它可以缓存状态&#xff0c;并可在配置更改后持久保留相应状态。这意味着在 activity 之…...

【Java技术专题】「攻破技术盲区」攻破Java技术盲点之unsafe类的使用指南(打破Java的安全管控— sun.misc.unsafe)

Java后门机制 — sun.misc.unsafe 打破Java的安全管控关于Unsafe的编程建议实例化Unsafe后门对象使用sun.misc.Unsafe创建实例单例模式处理实现浅克隆&#xff08;直接获取内存的方式&#xff09;直接使用copyMemory原理分析 密码安全使用Unsafe类—示例代码 运行时动态创建类超…...

私有云平台搭建openstack和ceph结合搭建手册

OpenStack与云计算 什么是云&#xff1f; 如何正确理解云&#xff0c;可以从以下几个方面。 云的构成。 用户&#xff1a;对用户而言是透明无感知的&#xff0c;不用关心底层构成&#xff0c;只需要知道利用云完成自己任务即可。 云提供商&#xff1a;对云资产管理和运维。 云…...

debug mccl 02 —— 环境搭建及初步调试

1, 搭建nccl 调试环境 下载 nccl 源代码 git clone --recursive https://github.com/NVIDIA/nccl.git 只debug host代码&#xff0c;故将设备代码的编译标志改成 -O3 (base) hipperhipper-G21:~/let_debug_nccl/nccl$ git diff diff --git a/makefiles/common.mk b/makefiles/…...

ros python 接收GPS RTK 串口消息再转发 ros 主题消息

代码是一个ROS(Robot Operating System)节点,用于从GPS设备读取RTK(实时动态)数据并通过ROS主题发布。 步骤: 导入必要的模块: rospy 是ROS的Python库,用于ROS的节点、发布者和订阅者。serial 用于串行通信。NavSatFix 和 NavSatStatus 是从GPS接收的NMEA 0183标准消息…...

2024年网络安全竞赛-页面信息发现任务解析

页面信息发现任务说明: 服务器场景:win20230305(关闭链接)在渗透机中对服务器信息收集,将获取到的服务器网站端口作为Flag值提交;访问服务器网站页面,找到主页面中的Flag值信息,将Flag值提交;访问服务器网站页面,找到主页面中的脚本信息,并将Flag值提交;访问服务器…...

ARM DMA使用整理

Direct Memory Access&#xff0c; 直接存储访问。同SPI,IIC,USART等一样&#xff0c;属于MCU的一个外设&#xff0c;用于在不需要MCU介入的情况下进行数据传输。可以将数据从外设传输到flash&#xff0c;也可以将数据从flash传输到外设&#xff0c;或者flash内部数据移动。 它…...

网站开发折旧/外贸推广有哪些好的方式

欢迎关注公众号&#xff1a; 一口一个前端&#xff0c;不定期分享我所理解的前端知识写在前面之前写了一篇解读Redux运行机制的文章Nero&#xff1a;简单梳理Redux的源码与运行机制​zhuanlan.zhihu.com之后一直想再写一篇React-Redux的解析&#xff0c;但这个源码比较复杂&…...

如何做网站外链/流程优化的七个步骤

索引索引是数据库查询操作中提升速度的一种手段&#xff0c;索引是一种数据结构。索引是一个排序的列表&#xff0c;这个列表中存储着索引的值和包含这个值的数据所在的物理地址&#xff0c;数据量庞大的时候&#xff0c;索引可以快速定位需要查找的数据对应的物理地址&#xf…...

阜宁网站建设服务商/关键词优化需要从哪些方面开展

[MySQL 5.6] MySQL 5.6 online ddl 使用、测试及关键函数栈 http://mysqllover.com/?p547本文主要分为三个部分&#xff0c;第一部分是看文档时的笔记&#xff1b;第二部分使用sysbench简单测试了下性能损耗&#xff1b;第三部分阐述了关键函数栈&#xff0c;但未做深入前言 O…...

wordpress 新特性/百度首页排名优化哪家专业

我写的代码没有判断两个矩形是否相交&#xff0c;所以在oj系统中有两组数据没有通过&#xff0c;没有通过的两组数据都是两矩形没有相交。注意数据类型要用float而不是int&#xff0c;我的代码如下 a1input().split() b1input().split() a[float(a1[0]),float(a1[1])] b[float…...

asp.net 网站 代理/合肥seo推广培训班

2019独角兽企业重金招聘Python工程师标准>>> 以前没有接触过winapi方面的内容&#xff0c;现在准备学习如何使用go语言原生态的开发windows下的gui程序&#xff0c;在这个分类下记录下自己的学习进度 转载于:https://my.oschina.net/tianyiweimo/blog/79448...

做网站需要用到什么/今天的新闻联播

/* * 创建人&#xff1a;李要南 * 创建时间&#xff1a;2008-12-7 4:22 * 说明&#xff1a;新闻类别表业务类 * 版权所有&#xff1a;李要南 */ using System; using System.Collections.Generic; using System.Linq; using System.Text; using System.Data; using System…...