当前位置: 首页 > news >正文

《Java 简易速速上手小册》第6章:Java 并发编程(2024 最新版)

在这里插入图片描述

文章目录

  • 6.1 线程的创建和管理 - 召唤你的士兵
    • 6.1.1 基础知识
    • 6.1.2 重点案例:实现一个简单的计数器
    • 6.1.3 拓展案例 1:定时器线程
    • 6.1.4 拓展案例 2:使用 Executor 框架管理线程
  • 6.2 同步机制 - 维持军队的秩序
    • 6.2.1 基础知识
    • 6.2.2 重点案例:银行转账操作
    • 6.2.3 拓展案例 1:生产者消费者问题
    • 6.2.4 拓展案例 2:读写锁实现缓存系统
  • 6.3 并发工具类 - 你的特殊武器
    • 6.3.1 基础知识
    • 6.3.2 重点案例:使用 CountDownLatch 协调任务
    • 6.3.3 拓展案例 1:使用 CyclicBarrier 同步周期性任务
    • 6.3.4 拓展案例 2:使用 Semaphore 控制资源访问

6.1 线程的创建和管理 - 召唤你的士兵

在Java并发编程的世界中,线程是执行任务的基本单位。正确地创建和管理线程就像是召唤和指挥你的士兵一样重要。让我们深入探索如何有效地召唤这些勇士,并确保他们能够有效地完成任务。

6.1.1 基础知识

  • 创建线程的两种方式

    • 继承Thread类:创建一个新类继承Thread类,并重写run()方法。通过实例化这个类并调用其start()方法来启动线程。
    • 实现Runnable接口:创建一个实现了Runnable接口的类,并实现run()方法。然后将这个实现类的实例传递给Thread类的构造函数,并通过新线程的start()方法来启动。
  • 线程的生命周期:新建(New)、就绪(Runnable)、运行(Running)、阻塞(Blocked)和终止(Terminated)。

  • 线程的优先级:每个线程都有一个优先级,它们可以从Thread.MIN_PRIORITY(1)到Thread.MAX_PRIORITY(10)变化,Thread.NORM_PRIORITY(5)是默认优先级。

6.1.2 重点案例:实现一个简单的计数器

假设我们要实现一个简单的计数器,每个线程负责将一个共享变量增加到特定的值。

计数器Runnable实现:

public class Counter implements Runnable {private final int limit;private static int count = 0;public Counter(int limit) {this.limit = limit;}@Overridepublic void run() {while (count < limit) {synchronized (Counter.class) {if (count < limit) {System.out.println(Thread.currentThread().getName() + ": " + (++count));}}}}public static void main(String[] args) {Runnable counter = new Counter(10);new Thread(counter, "Thread-1").start();new Thread(counter, "Thread-2").start();}
}

在这个例子中,我们创建了一个实现了Runnable接口的Counter类。每个线程在run()方法中增加计数器,直到达到了限制值。我们使用synchronized关键字来确保在同一时刻只有一个线程能够增加计数器。

6.1.3 拓展案例 1:定时器线程

创建一个线程,定时打印消息到控制台,演示如何使用线程来执行定时任务。

import java.util.Timer;
import java.util.TimerTask;public class Reminder {Timer timer;public Reminder(int seconds) {timer = new Timer();timer.schedule(new RemindTask(), seconds * 1000);}class RemindTask extends TimerTask {public void run() {System.out.println("Time's up!");timer.cancel();}}public static void main(String[] args) {new Reminder(5);System.out.println("Task scheduled.");}
}

6.1.4 拓展案例 2:使用 Executor 框架管理线程

Executor框架提供了更高级的接口来管理线程池,使得管理一组任务更加容易。

import java.util.concurrent.ExecutorService;
import java.util.concurrent.Executors;public class ThreadPoolDemo {public static void main(String[] args) {ExecutorService executor = Executors.newFixedThreadPool(5);for (int i = 0; i < 10; i++) {Runnable worker = new WorkerThread("" + i);executor.execute(worker);}executor.shutdown();while (!executor.isTerminated()) {}System.out.println("Finished all threads");}
}class WorkerThread implements Runnable {private String message;public WorkerThread(String message) {this.message = message;}public void run() {System.out.println(Thread.currentThread().getName() + " (Start) message = " + message);processMessage();System.out.println(Thread.currentThread().getName() + " (End)");}private void processMessage() {try {Thread.sleep(2000);} catch (InterruptedException e) {e.printStackTrace();}}
}

通过这些案例,我们看到了Java线程创建和管理的多样化方法。无论是通过实现Runnable接口,使用定时器任务,还是利用Executor框架管理线程池,正确的线程管理策略都能使你的并发程序运行得更加高效和稳定。现在,带着你的士兵们勇往直前,征服并发编程的世界吧!

在这里插入图片描述


6.2 同步机制 - 维持军队的秩序

在并发编程的战场上,正确的同步机制就像是用来维持你的数据军队秩序的军规,确保所有士兵行动协调,避免混乱和冲突。Java提供了多种同步工具和方法,帮助开发者有效管理线程间的协作和资源共享。

6.2.1 基础知识

  • synchronized关键字:可以用于方法或代码块,确保同一时刻只有一个线程可以执行该段代码,从而避免资源冲突或数据不一致的问题。

  • volatile关键字:用于标记变量,确保每次访问变量时都会从主内存中读取,而不是从线程的工作内存,从而保证了变量的可见性。

  • Lock接口:提供了比synchronized更灵活的锁定机制,包括可重入锁(ReentrantLock)、读写锁(ReadWriteLock)等,允许更细粒度的锁控制。

  • Condition接口:与Lock配合使用,允许线程间有更细致的通信(比如等待/通知机制),实现线程间的协调。

6.2.2 重点案例:银行转账操作

假设我们需要实现一个银行账户的转账操作,这个操作需要确保线程安全,避免在并发环境下出现资金错误。

public class Account {private int balance;private final Lock lock = new ReentrantLock();public Account(int balance) {this.balance = balance;}// 转账操作public void transfer(Account target, int amount) {lock.lock();try {if (this.balance >= amount) {this.balance -= amount;target.deposit(amount);}} finally {lock.unlock();}}public void deposit(int amount) {lock.lock();try {this.balance += amount;} finally {lock.unlock();}}public int getBalance() {return balance;}
}

在这个例子中,transfer方法使用了ReentrantLock来确保转账操作的原子性,避免了并发环境下的资金错误。

6.2.3 拓展案例 1:生产者消费者问题

生产者消费者是并发编程中的一个经典问题,它涉及到两个或多个线程间的协作。使用LockCondition可以优雅地解决这个问题。

import java.util.LinkedList;
import java.util.Queue;
import java.util.concurrent.locks.Condition;
import java.util.concurrent.locks.Lock;
import java.util.concurrent.locks.ReentrantLock;public class ProducerConsumer {private final Queue<Integer> queue = new LinkedList<>();private final int capacity = 10;private final Lock lock = new ReentrantLock();private final Condition notFull = lock.newCondition();private final Condition notEmpty = lock.newCondition();// 生产者方法public void produce(int value) throws InterruptedException {lock.lock();try {while (queue.size() == capacity) {notFull.await();}queue.add(value);notEmpty.signalAll();} finally {lock.unlock();}}// 消费者方法public int consume() throws InterruptedException {lock.lock();try {while (queue.isEmpty()) {notEmpty.await();}int value = queue.poll();notFull.signalAll();return value;} finally {lock.unlock();}}
}

6.2.4 拓展案例 2:读写锁实现缓存系统

读写锁(ReadWriteLock)允许多个读操作同时进行,但写操作是互斥的。这对于实现缓存系统来说非常有用。

import java.util.HashMap;
import java.util.Map;
import java.util.concurrent.locks.ReadWriteLock;
import java.util.concurrent.locks.ReentrantReadWriteLock;public class Cache {private final Map<String, Object> map = new HashMap<>();private final ReadWriteLock rwLock = new ReentrantReadWriteLock();public Object get(String key) {rwLock.readLock().lock();try {return map.get(key);} finally {rwLock.readLock().unlock();}}public void put(String key, Object value) {rwLock.writeLock().lock();try {map.put(key, value);} finally {rwLock.writeLock().unlock();}}
}

通过这些案例,我们看到了Java中同步机制的强大之处,它不仅帮助我们维持线程间的协作和数据的一致性,还使我们能够设计出高效且线程安全的并发应用。掌握这些同步工具,成为并发编程的指挥官吧!

在这里插入图片描述


6.3 并发工具类 - 你的特殊武器

Java的并发工具类就像是隐藏在你的武器库中的特殊武器,它们可以帮助你在并发编程的战场上更加游刃有余。这些工具类提供了强大的功能来帮助管理线程间的协调,以及对共享资源的访问控制,让你能够写出更高效、更健壮的并发程序。

6.3.1 基础知识

  • CountDownLatch:允许一个或多个线程等待其他线程完成一系列操作。当倒计时达到零时,等待的线程被释放继续执行。

  • CyclicBarrier:允许一组线程互相等待,直到所有线程都达到了某个共同点,然后继续执行。

  • Semaphore:一种基于计数的同步机制,可以控制对共享资源的访问。它可以限制同时访问某个特定资源的线程数量。

  • Concurrent Collections:提供了线程安全的集合类,如ConcurrentHashMapCopyOnWriteArrayList等,用于在并发环境中管理数据。

  • Executor框架:简化了线程的创建和管理,提供了线程池等高级功能,使得并发任务的调度和管理更加灵活和强大。

6.3.2 重点案例:使用 CountDownLatch 协调任务

假设我们有一个任务,需要在开始执行主任务之前,等待其他几个服务初始化完成。

import java.util.concurrent.CountDownLatch;public class ServiceInitializer {private static final int NUM_OF_SERVICES = 3;private final CountDownLatch latch = new CountDownLatch(NUM_OF_SERVICES);public void initialize() {for (int i = 1; i <= NUM_OF_SERVICES; i++) {new Thread(new Service(latch), "Service " + i).start();}try {latch.await(); // 等待所有服务初始化完成System.out.println("All services are initialized. Main task is starting now.");} catch (InterruptedException e) {Thread.currentThread().interrupt();}}static class Service implements Runnable {private final CountDownLatch latch;public Service(CountDownLatch latch) {this.latch = latch;}@Overridepublic void run() {try {// 模拟服务初始化耗时Thread.sleep((long) (Math.random() * 1000));System.out.println(Thread.currentThread().getName() + " initialized.");} catch (InterruptedException e) {Thread.currentThread().interrupt();} finally {latch.countDown();}}}public static void main(String[] args) {new ServiceInitializer().initialize();}
}

6.3.3 拓展案例 1:使用 CyclicBarrier 同步周期性任务

假设我们需要执行一个周期性任务,该任务需要在每个周期内的所有子任务完成后才能开始下一个周期。

import java.util.concurrent.CyclicBarrier;public class CyclicTask implements Runnable {private CyclicBarrier barrier;public CyclicTask(CyclicBarrier barrier) {this.barrier = barrier;}@Overridepublic void run() {try {System.out.println(Thread.currentThread().getName() + " is waiting at the barrier.");barrier.await();System.out.println(Thread.currentThread().getName() + " has crossed the barrier.");} catch (Exception e) {e.printStackTrace();}}public static void main(String[] args) {final int parties = 3;CyclicBarrier barrier = new CyclicBarrier(parties, () -> System.out.println("All parties have arrived at the barrier, let's proceed to the next step."));for (int i = 0; i < parties; i++) {new Thread(new CyclicTask(barrier), "Thread " + (i + 1)).start();}}
}

6.3.4 拓展案例 2:使用 Semaphore 控制资源访问

在某些情况下,我们需要限制对某个资源的并发访问数量

。Semaphore提供了一种简单有效的方法来实现这一目标。

import java.util.concurrent.Semaphore;public class SemaphoreDemo {private static final int MAX_PERMITS = 3;private final Semaphore semaphore = new Semaphore(MAX_PERMITS);public void accessResource() {try {semaphore.acquire();System.out.println(Thread.currentThread().getName() + " is accessing the resource.");Thread.sleep(1000); // 模拟资源访问耗时} catch (InterruptedException e) {e.printStackTrace();} finally {semaphore.release();System.out.println(Thread.currentThread().getName() + " has released the resource.");}}public static void main(String[] args) {SemaphoreDemo demo = new SemaphoreDemo();for (int i = 0; i < 6; i++) {new Thread(demo::accessResource, "Thread " + (i + 1)).start();}}
}

通过这些案例,我们可以看到Java并发工具类如何成为处理并发和多线程问题的强大武器。无论是协调多个任务的完成,同步周期性任务的执行,还是控制对共享资源的访问,这些工具类都能让你的并发编程工作变得更加简单和高效。使用这些特殊的武器,指挥你的数据军团,优雅地完成并发任务!

相关文章:

《Java 简易速速上手小册》第6章:Java 并发编程(2024 最新版)

文章目录 6.1 线程的创建和管理 - 召唤你的士兵6.1.1 基础知识6.1.2 重点案例&#xff1a;实现一个简单的计数器6.1.3 拓展案例 1&#xff1a;定时器线程6.1.4 拓展案例 2&#xff1a;使用 Executor 框架管理线程 6.2 同步机制 - 维持军队的秩序6.2.1 基础知识6.2.2 重点案例&a…...

C++初阶:容器(Containers)list常用接口详解

介绍完了vector类的相关内容后&#xff0c;接下来进入新的篇章&#xff0c;容器list介绍&#xff1a; 文章目录 1.list的初步介绍2.list的定义&#xff08;constructor&#xff09;3.list迭代器&#xff08; iterator &#xff09;4.string的三种遍历4.1迭代器4.2范围for循环 5…...

HARRYPOTTER: FAWKES

攻击机 192.168.223.128 目标机192.168.223.143 主机发现 nmap -sP 192.168.223.0/24 端口扫描 nmap -sV -p- -A 192.168.223.143 开启了21 22 80 2222 9898 五个端口&#xff0c;其中21端口可以匿名FTP登录&#xff0c;好像有点说法,百度搜索一下发现可以用anonymous登录…...

嵌入式Qt 第一个Qt项目

一.创建Qt项目 打开Qt Creator 界面选择 New Project或者选择菜单栏 【文件】-【新建文件或项目】菜单项 弹出New Project对话框&#xff0c;选择Qt Widgets Application 选择【Choose】按钮&#xff0c;弹出如下对话框 设置项目名称和路径&#xff0c;按照向导进行下一步 选…...

【OpenHarmony硬件操作】风扇与温湿度模块

文章目录 前言一、串行通信是什么二、IC2.1 IC是什么2.2 IC涉及到的线2.3 IC的时序三、风扇的操作3.1 关于 pcf85743.2 风扇的接口函数IO拓展芯片的定义初始化PCF8574初始化 IO拓展版的引脚属性开启和关闭风扇读状态四、温湿度传感器的使用4.1 初始化温湿度传感器</...

Vue3.4+element-plus2.5 + Vite 搭建教程整理

一、 Vue3Vite 项目搭建 说明&#xff1a; Vue3 最新版本已经基于Vite构建&#xff0c;关于Vite简介&#xff1a;Vite 下一代的前端工具链&#xff0c;前端开发与构建工具-CSDN博客 1.安装 并 创建Vue3 应用 npm create vuelatest 创建过程可以一路 NO 目前推荐使用 Vue R…...

STM32Cubmax stm32f103zet6 SPI通讯

一、基本概念 SPI 是英语 Serial Peripheral interface 的缩写&#xff0c;顾名思义就是串行外围设备接口。是 Motorola 首先在其 MC68HCXX 系列处理器上定义的。 SPI 接口主要应用在 EEPROM&#xff0c; FLASH&#xff0c;实时时 钟&#xff0c; AD 转换器&#xff0c;还有数…...

每日OJ题_位运算⑤_力扣371. 两整数之和

目录 力扣371. 两整数之和 解析代码 力扣371. 两整数之和 371. 两整数之和 难度 简单 给你两个整数 a 和 b &#xff0c;不使用 运算符 和 - &#xff0c;计算并返回两整数之和。 示例 1&#xff1a; 输入&#xff1a;a 1, b 2 输出&#xff1a;3示例 2&#xff1a; …...

Mysql中索引优化和失效

什么是索引 要了解索引优化和索引失效的场景就要先了解什么是索引 索引是一种有序的存储结构&#xff0c;按照单个或者多个列的值进行排序&#xff0c;以提升搜索效率。 索引的类型 UNIQUE唯一索引 不可以出现相同的值&#xff0c;可以有NULL值。 INDEX普通索引 允许出现相同…...

使用Python+OpenCV2进行图片中的文字分割(支持竖版)

扣字和分割 把图片中的文字&#xff0c;识别出来&#xff0c;并将每个字的图片抠出来&#xff1b; import cv2 import numpy as npHIOG 50 VIOG 3 Position []水平投影 def getHProjection(image):hProjection np.zeros(image.shape,np.uint8)# 获取图像大小(h,w)image.sh…...

Qt中程序发布及常见问题

1、引言 当我们写好一个程序时通常需要发布给用户使用&#xff0c;那么在Qt中程序又是如何实现发布的呢&#xff0c;这里我就来浅谈一下qt中如何发布程序&#xff0c;以及发布程序时的常见问题。 2、发布过程 2.1、切换为release模式 当我们写qt程序时默认是debug模式&#x…...

C语言第二十三弹---指针(七)

✨个人主页&#xff1a; 熬夜学编程的小林 &#x1f497;系列专栏&#xff1a; 【C语言详解】 【数据结构详解】 指针 1、sizeof和strlen的对比 1.1、sizeof 1.2、strlen 1.3、sizeof 和 strlen的对比 2、数组和指针笔试题解析 2.1、⼀维数组 2.2、二维数组 总结 1、si…...

用HTML5 + JavaScript绘制花、树

用HTML5 JavaScript绘制花、树 <canvas>是一个可以使用脚本 (通常为JavaScript) 来绘制图形的 HTML 元素。 <canvas> 标签/元素只是图形容器&#xff0c;必须使用脚本来绘制图形。 HTML5 canvas 图形标签基础https://blog.csdn.net/cnds123/article/details/112…...

Science重磅_让大模型像婴儿一样学习语言

英文名称: Grounded language acquisition through the eyes and ears of a single child 中文名称: 通过一个孩子的眼睛和耳朵基于实践学习语言 文章: https://www.science.org/doi/10.1126/science.adi1374 代码: https://github.com/wkvong/multimodalbaby 作者: Wai Keen V…...

Java 数据结构篇-实现红黑树的核心方法

&#x1f525;博客主页&#xff1a; 【小扳_-CSDN博客】 ❤感谢大家点赞&#x1f44d;收藏⭐评论✍ 文章目录 1.0 红黑树的说明 2.0 红黑树的特性 3.0 红黑树的成员变量及其构造方法 4.0 实现红黑树的核心方法 4.1 红黑树内部类的核心方法 &#xff08;1&#xff09;判断当前…...

【实战】一、Jest 前端自动化测试框架基础入门(中) —— 前端要学的测试课 从Jest入门到TDD BDD双实战(二)

文章目录 一、Jest 前端自动化测试框架基础入门5.Jest 中的匹配器toBe 匹配器toEqual匹配器toBeNull匹配器toBeUndefined匹配器和toBeDefined匹配器toBeTruthy匹配器toBeFalsy匹配器数字相关的匹配器字符串相关的匹配器数组相关的匹配器异常情况的匹配器 6.Jest 命令行工具的使…...

【C语言 - 力扣 - 反转链表】

反转链表题目描述 给你单链表的头节点 head &#xff0c;请你反转链表&#xff0c;并返回反转后的链表。 题解1-迭代 假设链表为 1→2→3→∅&#xff0c;我们想要把它改成 ∅←1←2←3。 在遍历链表时&#xff0c;将当前节点的 next 指针改为指向前一个节点。由于节点没…...

ctfshow-php特性(web102-web115)

目录 web102 web103 web104 web105 web106 web107 web108 web109 web110 web111 web112 web113 web114 web115 实践是检验真理的 要多多尝试 web102 <?php highlight_file(__FILE__); $v1$_POST[V1]; $v2$_GET[v2]; $v3$_GET[v3]; $v4is_numeric($v2)and is…...

python系统学习Day1

section1 python introduction 文中tips只做拓展&#xff0c;可跳过。 PartOne introduction 首先要对于python这门语言有一个宏观的认识&#xff0c;包括特点和应用场景。 特点分析&#xff1a; 优势 提供了完善的基础代码库&#xff0c;许多功能不必从零编写简单优雅 劣势 运…...

Idea里自定义封装数据警告解决 Spring Boot Configuration Annotation Processor not configured

我们自定对象封装指定数据&#xff0c;封装类上面一个红色警告&#xff0c;虽然不影响我们的执行&#xff0c;但是有强迫症看着不舒服&#xff0c; 去除方式&#xff1a; 在pom文件加上坐标刷新 <dependency><groupId>org.springframework.boot</groupId><…...

【流程图——讲解】

流程图介绍 流程图介绍 流程图介绍 流程图是一种图表&#xff0c;它展示了工作流程或过程中的步骤顺序&#xff0c;它通常由不同的符号表示&#xff0c;每个符号都代表一个步骤或过程中的一个元素&#xff0c;流程图非常有用&#xff0c;因为它们可以提供清晰、视觉化的过程表…...

「计算机网络」物理层

物理层的基本概念 物理层的作用&#xff1a;尽可能屏蔽掉不同传输媒体和通信手段的差异物理层规程&#xff1a;用于物理层的协议主要任务&#xff1a;确定与传输媒体的接口有关的一些特性 机械特性电器特性功能特性过程特性 数据通信的基础知识 数据通信系统的模型 划分为…...

ARM与X86架构的区别与联系

文章目录 1.什么是CPU2.复杂指令集和精简指令集3.ARM架构与X86架构的比较3.1.制造工艺3.2 64位计算3.3 异构计算3.4 功耗 4.ARM和X86的发展现状Reference 1.什么是CPU 中央处理单元&#xff08;CPU&#xff09;主要由运算器、控制器、寄存器三部分组成&#xff0c;从字面意思看…...

蓝桥杯每日一题------背包问题(二)

前言 本次讲解背包问题的一些延申问题&#xff0c;新的知识点主要涉及到二进制优化&#xff0c;单调队列优化DP&#xff0c;树形DP等。 多重背包 原始做法 多重背包的题意处在01背包和完全背包之间&#xff0c;因为对于每一个物品它规定了可选的个数&#xff0c;那么可以考虑…...

牛客错题整理——C语言(实时更新)

1.以下程序的运行结果是&#xff08;&#xff09; #include <stdio.h> int main() { int sum, pad,pAd; sum pad 5; pAd sum, pAd, pad; printf("%d\n",pAd); }答案为7 由于赋值运算符的优先级高于逗号表达式&#xff0c;因此pAd sum, pAd, pad;等价于(…...

CIFAR-10数据集详析:使用卷积神经网络训练图像分类模型

1.数据集介绍 CIFAR-10 数据集由 10 个类的 60000 张 32x32 彩色图像组成&#xff0c;每类 6000 张图像。有 50000 张训练图像和 10000 张测试图像。 数据集分为5个训练批次和1个测试批次&#xff0c;每个批次有10000张图像。测试批次正好包含从每个类中随机选择的 1000 张图像…...

《傲剑狂刀》中的人物性格——龙吟风

在《傲剑狂刀》这款经典武侠题材的格斗游戏中,龙吟风作为一位具有传奇色彩的角色,其性格特征复杂且引人入胜。以下是对龙吟风这一角色的性格特点进行深度剖析: 一、孤高独立的剑客气质 龙吟风的名字本身就流露出一种独特的江湖气息,"吟风"象征着他的飘逸与淡泊名…...

KVM和JVM的虚拟化技术有何区别?

随着虚拟化技术的不断发展&#xff0c;KVM和JVM已成为两种主流的虚拟化技术。尽管它们都提供了虚拟化的解决方案&#xff0c;但它们在实现方式、功能和性能方面存在一些重要的差异。本文将深入探讨KVM和JVM的虚拟化技术之间的区别。 KVM&#xff08;Kernel-based Virtual Mac…...

LeetCode力扣 面试经典150题 详细题解 (1~5) 持续更新中

目录 1.合并两个有序数组 2.移动元素 3.删除有序数组中的重复项 4.删除排序数组中的重复项 II 5.多数元素 暂时更新到这里&#xff0c;博主会持续更新的 1.合并两个有序数组 题目&#xff08;难度&#xff1a;简单&#xff09;&#xff1a; 给你两个按 非递减顺序 排列的…...

如何解决利用cron定时任务自动更新SSL证书后Nginx重启问题

利用cron定时任务自动更新SSL证书后&#xff0c;用浏览器访问网站&#xff0c;获取到的证书仍然是之前的。原因在于没有对Nginx进行重启。 据说certbot更新完成证书后会自动重启Nginx,但显然经我检测不是这回事儿。 所以我们需要创建一bash脚本&#xff0c;然后定时调用这个脚…...

第一个 Angular 项目 - 静态页面

第一个 Angular 项目 - 静态页面 之前的笔记&#xff1a; [Angular 基础] - Angular 渲染过程 & 组件的创建 [Angular 基础] - 数据绑定(databinding) [Angular 基础] - 指令(directives) 这是在学完了上面这三个内容后能够完成的项目&#xff0c;目前因为还没有学到数…...

网络协议与攻击模拟_17HTTPS 协议

HTTPShttpssl/tls 1、加密算法 2、PKI&#xff08;公钥基础设施&#xff09; 3、证书 4、部署HTTPS服务器 部署CA证书服务器 5、分析HTTPS流量 分析TLS的交互过程 一、HTTPS协议 在http的通道上增加了安全性&#xff0c;传输过程通过加密和身份认证来确保传输安全性 1、TLS …...

【linux系统体验】-ubuntu简易折腾

ubuntu 一、终端美化二、桌面美化2.1 插件安装2.2 主题和图标2.3 美化配置 三、常用命令 以后看不看不重要&#xff0c;咱就是想记点儿东西。一、终端美化 安装oh my posh&#xff0c;参考链接&#xff1a;Linux 终端美化 1、安装字体 oh my posh美化工具可以使用合适的字体&a…...

Android 判断通知是进度条通知

1.需求: 应用监听安卓系统中的通知,需要区分出带进度条的通知. 当使用NotificationCompat.Builder构建一个通知时&#xff0c;可以通过调用setProgress(max, progress, indeterminate)方法来添加一个进度条。这里的max参数表示最大进度值&#xff0c;progress表示当前进度值&a…...

学习数据结构和算法的第8天

顺序表的实现 顺序表 ​ 本质就是数组 概念及结构 ​ 顺序表是用一段物理地址连续的储存单元依次储存数据元素的线性结构&#xff0c;一般情况下采用数组储存&#xff0c;在数组上完成数据的增删。 顺序表就是数组&#xff0c;但是在数组的基础上&#xff0c;它还要求数据…...

JCIM | MD揭示PTP1B磷酸酶激活RtcB连接酶的机制

Background 内质网应激反应&#xff08;UPR&#xff09; 中的一个重要过程。UPR是由内质网中的三种跨膜传感器&#xff08;IRE1、PERK和ATF6&#xff09;控制的细胞应激反应&#xff0c;当内质网中的蛋白质折叠能力受到压力时&#xff0c;UPR通过减少蛋白质合成和增加未折叠或错…...

基于Java (spring-boot)的音乐管理系统

一、项目介绍 播放器的前端&#xff1a; 1.首页&#xff1a;点击歌单中的音乐播放列表中的歌曲进行播放&#xff0c;播放时跳转播放界面&#xff0c;并显示歌手信息&#xff0c;同时会匹配歌词&#xff0c;把相应的歌词显示在歌词面板中。 2.暂停&#xff1a;当歌曲正在播放时…...

在 MacOS M系列处理器上使用 Anaconda 开发 Oralce 的Python程序

在 MacOS M系列处理器上使用 Anaconda 开发 Oralce 的Python程序 因oracle官方驱动暂无 苹果 M 系列处理器版本&#xff0c;所以使用Arm的python解释器报驱动错误&#xff1a; cx_Oracle.DatabaseError: DPI-1047: Cannot locate a 64-bit Oracle Client library: "dlop…...

四、OpenAI之文本生成模型

文本生成模型 OpenAI的文本生成模型(也叫做生成预训练的转换器(Generative pre-trained transformers)或大语言模型)已经被训练成可以理解自然语言、代码和图片的模型。模型提供文本的输出作为输入的响应。对这些模型的输入内容也被称作“提示词”。设计提示词的本质是你如何对…...

CSS之flex布局

flex布局 CSS的Flex布局&#xff08;Flexible Box Layout&#xff09;是一种用于在页面上布置元素的高效方法&#xff0c;特别适合于响应式设计。Flex布局使得元素能够伸缩以适应可用空间&#xff0c;可以简化很多原本需要复杂CSS和HTML结构才能实现的布局设计。 flex布局包括…...

UnityShader——02三大主流编程语言

三大主流编程语言 Shader Language Shader language的发展方向是设计出在便携性方面可以与C/JAVA相比的高级语言&#xff0c;“赋予程序员灵活而方便的编程方式”&#xff0c;并“利用图形硬件的并行性&#xff0c;提高算法的效率” Shader language目前主要有 3 种语言&…...

Centos7安装nginx yum报错

Centos7安装nginx yum报错&#xff0c;yum源报错解决办法&#xff1a; 1、更新epel源后&#xff0c;出现yum报错 [roothacker117 ~]# yum install epel-release&#xff08;安装成功&#xff09; [roothacker117 ~]# yum install nginx&#xff08;安装失败&#xff0c;提示如…...

【机组】基于FPGA的32位算术逻辑运算单元的设计(EP2C5扩充选配类)

​&#x1f308;个人主页&#xff1a;Sarapines Programmer&#x1f525; 系列专栏&#xff1a;《机组 | 模块单元实验》⏰诗赋清音&#xff1a;云生高巅梦远游&#xff0c; 星光点缀碧海愁。 山川深邃情难晤&#xff0c; 剑气凌云志自修。 目录 一、实验目的 二、实验要求 …...

Asp .Net Core 系列:Asp .Net Core 集成 NLog

简介 NLog是一个基于.NET平台编写的日志记录类库&#xff0c;它可以在应用程序中添加跟踪调试代码&#xff0c;以便在开发、测试和生产环境中对程序进行监控和故障排除。NLog具有简单、灵活和易于配置的特点&#xff0c;支持在任何一种.NET语言中输出带有上下文的调试诊断信息…...

一个基于 .NET 7 + Vue.js 的前后端分离的通用后台管理系统框架 - DncZeus

前言 今天给大家推荐一个基于.NET 7 Vue.js(iview-admin) 的前后端分离的通用后台权限(页面访问、操作按钮控制)管理系统框架&#xff1a;DncZeus。 官方项目简介 DncZeus是一个基于 .NET 7 Vue.js 的前后端分离的通用后台管理系统框架。后端使用.NET 7 Entity Framework…...

更换商品图片日期JSON格式报错 - 序列化与反序列化日期格式设置

报错信息 msg: “服务端异常&#xff0c;请联系管理员JSON parse error: Cannot deserialize value of type java.util.Date from String “2023-11-13 13:13:35”: not a valid representation (error: Failed to parse Date value ‘2023-11-13 13:13:35’: Cannot parse da…...

FastJson、Jackson使用AOP切面进行日志打印异常

FastJson、Jackson使用AOP切面进行日志打印异常 一、概述 1、问题详情 使用FastJson、Jackson进行日志打印时分别包如下错误&#xff1a; 源码&#xff1a; //fastjon log.info("\nRequest Info :{} \n"&#xff0c; JSON.toJSONString(requestInfo)); //jackson …...

嵌入式大厂面试题(2)—— 富士康

从本篇开始将会更新历年来各个公司的面试题与面经&#xff0c;题目来自于网上各个平台以及博主自己遇到的&#xff0c;如果大家有所帮助&#xff0c;帮忙点点赞和关注吧&#xff01; 岗位&#xff1a;嵌入式软件工程师。 面试时间&#xff1a;30分钟。 岗位职责&#xff1a;官网…...

力扣_字符串4—编辑距离

题目 给你两个单词 w o r d 1 word1 word1 和 w o r d 2 word2 word2&#xff0c; 请返回将 w o r d 1 word1 word1 转换成 w o r d 2 word2 word2 所使用的最少操作数 。 你可以对一个单词进行如下三种操作&#xff1a; 插入一个字符删除一个字符替换一个字符 方法—动…...

MySQL篇----第二十篇

系列文章目录 文章目录 系列文章目录前言一、NULL 是什么意思二、主键、外键和索引的区别?三、你可以用什么来确保表格里的字段只接受特定范围里的值?四、说说对 SQL 语句优化有哪些方法?(选择几条)前言 前些天发现了一个巨牛的人工智能学习网站,通俗易懂,风趣幽默,忍…...