当前位置: 首页 > news >正文

初学51单片机之PWM实例呼吸灯以及遇到的问题(已解答)

PWM全名Pulse Width Modulation中文称呼脉冲宽度调制 如图

这是一个周期10ms、频率是100HZ的波形,但是每个周期内,高低电平宽度各不相同,这就是PWM的本质。

占空比是指高电平占整个周期的比列,上图第一个波形的占空比是40%,第二个是60%,第三个是80%。

本案将以PWM控制来制作一个呼吸灯,以及一个大致模拟人体呼吸的呼吸灯。

上代码

# include<reg52.h>sbit PWMOUT = P0^0;
sbit ADDR0 = P1^0;
sbit ADDR1 = P1^1;
sbit ADDR2 = P1^2;
sbit ADDR3 = P1^3;
sbit ENLED = P1^4;unsigned long PeriodCnt = 0; //PWM周期计数值
unsigned char HighRH = 0;    //高电平重载值的高字节
unsigned char HighRL = 0;   //高电平重载值的低字节
unsigned char LowRH = 0;    //低电平重载值的高字节
unsigned char LowRL = 0;    //低电平重载值的低字节
unsigned char T1RH = 0;     //T1重载值的高字节
unsigned char T1RL = 0;     //T1重载值的低字节void ConfigTimer1(unsigned int ms);
void ConfigPWM(unsigned int fr,unsigned char dc);void main()
{EA = 1;           //开启中断ENLED = 0;        //使能U3ADDR3 = 1;ADDR2 = 1;       //使能LEDADDR1 = 1;ADDR0 = 0;ConfigPWM(100,10);  //配置并启动PWMConfigTimer1(50);  //用T1定时调整PWMwhile(1);
}/* 配置并启动T1,ms为定时时间  */
void ConfigTimer1(unsigned int ms)
{unsigned long tmp;               //定义临时变量tmp = 11059200/12;             //定时器计数频率tmp = (tmp * ms)/1000;         //计算所需的计数值tmp = 65536 - tmp ;           //计数定时器重载值tmp = tmp +12 ;                    //补偿中断响应延时造成的误差T1RH = (unsigned char)(tmp >> 8);  //定时器重载值拆分高低字节T1RL = (unsigned char)tmp;TMOD &= 0x0F;                   //0000 1111 清零T1的控制位TMOD |= 0x10;                 //0001 0000 配置T1的模式为1TH1 = T1RH;                   //加载T1的重载值TL1 = T1RL;                  ET1 = 1;                      //使能T1中断TR1 = 1;                      //启动T1}/*配置并启动PWM,fr为频率,dc为占空比 */
void ConfigPWM(unsigned int fr , unsigned char dc)
{unsigned int high, low;PeriodCnt = (11059200/12) /fr;    //计算一个周期所需的计数值high = (PeriodCnt * dc) /100;     //计算高电平所学的计数值low = PeriodCnt - high;           //计算低电平所需的计数值high = 65536 - high +12;          //计算高电平的定时器重载值并补偿中断延时low = 65536 -low +12;             //计算低电平的定时器重载值并补偿中断延时HighRH = (unsigned char)(high >> 8); //高电平重载值拆分高低电平HighRL = (unsigned char)high;LowRH = (unsigned char)(low >> 8);  //低电平重载值拆分高低电平LowRL = (unsigned char)low;TMOD &= 0xF0;                   //清零T0的控制位TMOD |= 0x01;                   //配置T0为模式1TH0 = HighRH;                   //加载T0的重载值TL0 = HighRL;ET0 = 1;                        //使能T0中断TR0 = 1;                        //启动T0PWMOUT = 0;                     //输出高电平}/* 占空比调整函数,频率不变只调整占空比 */void AdjustDutyCycle(unsigned char dc)
{unsigned int high,low;high = (PeriodCnt * dc) / 100;  //计算高电平所需的计数值low  = PeriodCnt - high;        //计算低电平所需的计数值high = 65536 - high +12;        //计算高电平的定时器重载值并补偿中断延时low = 65536 - low +12;          //计算低电平的定时器重载值并补偿中断延时HighRH = (unsigned char)(high >> 8); //高电平重载值拆分为高低字节HighRL = (unsigned char)high;LowRH = (unsigned char)(low >> 8); //低电平重载值拆分为高低字节LowRL = (unsigned char)low;}/* T0中断服务函数,产生PWM输出 */
void interruptTimer0() interrupt 1
{if(PWMOUT == 1)                  //当输出位高电平时,装载低电平值并输出低电平{TH0 = LowRH;TL0 = LowRL;PWMOUT = 0;}else                       //当输出为低电平时,装载高电平值并输出高电平{TH0 = HighRH;TL0 = HighRL;PWMOUT = 1;}}/* T1中断服务函数,定时动态调整占空比 */void interruptTimer1() interrupt 3
{static bit dir = 0;static unsigned char index = 0;unsigned char code table[13] = {5,18,30,41,51,60,68,75,81,86,90,93,95      //占空比调整};TH1 = T1RH;TL1 = T1RL;AdjustDutyCycle(table[index]);            //调整PWM的占空比if(dir == 0)                               //逐步增大占空比{index++;if(index >= 12)   {dir = 1;}}else                   //减少占空比{index--;if(index == 0){dir = 0;}}
}

看结果视频:这是一个频率比较快的呼吸灯,提供下逻辑导图。

呼吸灯_哔哩哔哩_bilibili

笔者用它的占空比数组做了一个曲线图,

竖轴是占空比,横轴是时间。

上篇博文数字秒表,笔者已经计算过类似的时间补偿。本案也演算一次

首先这个时间循环可以看着是这样如下图,无论占空比如何改变频率都是100HZ即10ms

上次博文笔者求解了数字秒表的误差,这次在求解呼吸灯的时候遇到了一些问题,而且这些问题目前不知如何解决;

第一个是函数赋值出错的问题:对于函数 ConfigPWM(unsigned int fr , unsigned char dc)

当运行到

HighRH = (unsigned char)(high >> 8);  变量high 与low的值应该都已经赋值完毕,而且赋值完的结果应该是是high =FC73 low=DFA5。但是笔者debug的结果是

可以看到high的值没有问题,高低电平拆分,从新赋值也没问题。

但是Low出问题了,Low的值竟然是0x20A5,拆分后的赋值又是正确的,那么这里到底是哪里出了问题?为什么High值没有问题,low值却有问题呢 ?它们两的计算过程都一样,这是一处问题。

第二个问题已经解决了:

刚才没考虑到PWMOUT是单片机P0^0端口,不是单纯的变量,因此在debug的时候是无法按照变量的方式是去考虑的,把PWMOUT改成变量就可以进入if函数了。刚吃完饭灵光一线。

第二:在debug时间误差的时候笔者发现,定时器0中断,程序指针一直无法进入if函数,直接进入了else函数,导致中断时间间隔一直都是1ms,看视频                      keil5Debug过程异常_哔哩哔哩_bilibili

可以看到debug过程中无法进入定时器0中断的if函数,但是如果你注释掉if函数了的关键语句PWMOUT = 0;它就不会工作,显然if函数是起作用的,事实上笔者后续按了好久的F5j都已经72了,时间都到85ms了,i还是0。85ms定时器1中断都进入一次了,很快就要进入第二次了,if函数还是没进入一次,这显然不符合程序逻辑 的。事实上主函数第一次执行 ConfigPWM()的时候,把PWMOUT赋值为1,是可以进入一次if函数的,然后会经过9ms的等待再次进入中断进入else()函数,从此后就再也无法进入if函数了,后续现象和视频一样。

这个问题产生的缘由笔者不清楚,如果有读者小伙伴知道原因,请留下言。笔者多多感谢。如果有keil软件的话,可以复制过去,debug一下,看是否和笔者的结论一样。事实上笔者用keil4也试了,结果也一样。

然后笔者想既然已经实现一个呼吸灯功能了,那么用呼吸灯模拟下人正常呼吸的频率。

根据笔者自己的体验吸气要2s,呼气要3s。一个周期是5s。然后是呼吸曲线图。

本案的LED的是低电平使能,因此曲线图最高点占空比95,应是它的反向占空比即5%。这个数组是

   unsigned char code tableup[10] = {            //吸气数组2s
     95,80,67,56,45,36,27,18,10,5
    };

    unsigned char code tabledown[17] = {         //呼气数组3s多一点
      5,10,20,31,44,56,68,78,85,89,92,93,94,95,95,95,95
    };

上代码

# include<reg52.h>sbit PWMOUT = P0^0;
sbit ADDR0 = P1^0;
sbit ADDR1 = P1^1;
sbit ADDR2 = P1^2;
sbit ADDR3 = P1^3;
sbit ENLED = P1^4;unsigned long PeriodCnt = 0; //PWM周期计数值
unsigned char HighRH = 0;    //高电平重载值的高字节
unsigned char HighRL = 0;   //高电平重载值的低字节
unsigned char LowRH = 0;    //低电平重载值的高字节
unsigned char LowRL = 0;    //低电平重载值的低字节
unsigned char T1RH = 0;     //T1重载值的高字节
unsigned char T1RL = 0;     //T1重载值的低字节void ConfigTimer1(unsigned int ms);
void ConfigPWM(unsigned int fr,unsigned char dc);void main()
{EA = 1;           //开启中断ENLED = 0;        //使能U3ADDR3 = 1;ADDR2 = 1;       //使能LEDADDR1 = 1;ADDR0 = 0;ConfigPWM(100,95);  //配置并启动PWMConfigTimer1(50);  //用T1定时调整PWMwhile(1);
}/* 配置并启动T1,ms为定时时间  */
void ConfigTimer1(unsigned int ms)
{unsigned long tmp;               //定义临时变量tmp = 11059200/12;             //定时器计数频率tmp = (tmp * ms)/1000;         //计算所需的计数值tmp = 65536 - tmp ;           //计数定时器重载值tmp = tmp +12 ;                    //补偿中断响应延时造成的误差T1RH = (unsigned char)(tmp >> 8);  //定时器重载值拆分高低字节T1RL = (unsigned char)tmp;TMOD &= 0x0F;                   //0000 1111 清零T1的控制位TMOD |= 0x10;                 //0001 0000 配置T1的模式为1TH1 = T1RH;                   //加载T1的重载值TL1 = T1RL;                  ET1 = 1;                      //使能T1中断TR1 = 1;                      //启动T1}/*配置并启动PWM,fr为频率,dc为占空比 */
void ConfigPWM(unsigned int fr , unsigned char dc)
{unsigned int high, low;PeriodCnt = (11059200/12) /fr;    //计算一个周期所需的计数值high = (PeriodCnt * dc) /100;     //计算高电平所学的计数值low = PeriodCnt - high;           //计算低电平所需的计数值high = 65536 - high +12;          //计算高电平的定时器重载值并补偿中断延时low = 65536 -low +12;             //计算低电平的定时器重载值并补偿中断延时HighRH = (unsigned char)(high >> 8); //高电平重载值拆分高低电平HighRL = (unsigned char)high;LowRH = (unsigned char)(low >> 8);  //低电平重载值拆分高低电平LowRL = (unsigned char)low;TMOD &= 0xF0;                   //清零T0的控制位TMOD |= 0x01;                   //配置T0为模式1TH0 = HighRH;                   //加载T0的重载值TL0 = HighRL;ET0 = 1;                        //使能T0中断TR0 = 1;                        //启动T0PWMOUT = 1;                     //输出高电平}/* 占空比调整函数,频率不变只调整占空比 */void AdjustDutyCycle(unsigned char dc)
{unsigned int high,low;high = (PeriodCnt * dc) / 100;  //计算高电平所需的计数值low  = PeriodCnt - high;        //计算低电平所需的计数值high = 65536 - high +12;        //计算高电平的定时器重载值并补偿中断延时low = 65536 - low +12;          //计算低电平的定时器重载值并补偿中断延时HighRH = (unsigned char)(high >> 8); //高电平重载值拆分为高低字节HighRL = (unsigned char)high;LowRH = (unsigned char)(low >> 8); //低电平重载值拆分为高低字节LowRL = (unsigned char)low;}/* T0中断服务函数,产生PWM输出 */
void interruptTimer0() interrupt 1
{if(PWMOUT == 1)                  //当输出位高电平时,装载低电平值并输出低电平{TH0 = LowRH;TL0 = LowRL;PWMOUT = 0;}else                       //当输出为低电平时,装载高电平值并输出高电平{TH0 = HighRH;TL0 = HighRL;PWMOUT = 1;}}/* T1中断服务函数,定时动态调整占空比 */void interruptTimer1() interrupt 3
{static bit dir = 0;static unsigned char index = 0;static unsigned char index2 = 0;static char cnt = 0;//unsigned char code tableup[10] = {//  5,20,33,44,55,64,73,82,90,95      //占空比调整//};unsigned char code tableup[10] = {            //吸气数组95,80,67,56,45,36,27,18,10,5};//unsigned char code tabledown[15] = {// 95,90,80,69,56,44,32,22,15,11,8,7,6,5,5//};unsigned char code tabledown[17] = {         //呼气数组5,10,20,31,44,56,68,78,85,89,92,93,94,95,95,95,95};TH1 = T1RH;TL1 = T1RL;cnt++;if(cnt >= 4){cnt = 0;          if(dir == 0)                              {AdjustDutyCycle(tableup[index]);   //吸气2sindex++;if(index >= 10)   {dir = 1;index = 0;}}else                   {AdjustDutyCycle(tabledown[index2]);   //呼气3sindex2++;if(index2 >= 17){dir = 0;index2 = 0;}}}}

看下结果:模拟人体呼吸灯2_哔哩哔哩_bilibili  

总结:不知道是keil5软件本身有问题,还是笔者的keil5软件有问题,还是笔者哪里没有考虑到,路过的小伙伴如果知道缘由,请多多留言,指点下笔者,笔者在此多多感谢。

再和初学的小伙伴分享一个关于定时器运行的相关问题。定时器计时相关_哔哩哔哩_bilibili

相关文章:

初学51单片机之PWM实例呼吸灯以及遇到的问题(已解答)

PWM全名Pulse Width Modulation中文称呼脉冲宽度调制 如图 这是一个周期10ms、频率是100HZ的波形&#xff0c;但是每个周期内&#xff0c;高低电平宽度各不相同&#xff0c;这就是PWM的本质。 占空比是指高电平占整个周期的比列,上图第一个波形的占空比是40%&#xff0c;第二个…...

手机天线都去哪里了?

在手机的演变历程中&#xff0c;天线的设计和位置一直是工程师们不断探索和创新的领域。你是否好奇&#xff0c;现在的手机为什么看不到那些曾经显眼的天线了呢&#xff1f; 让我们一起揭开这个谜题。 首先&#xff0c;让我们从基础开始&#xff1a;手机是如何发出电磁波的&…...

计算机网络 —— 应用层(电子邮件)

计算机网络 —— 应用层&#xff08;电子邮件&#xff09; 电子邮件发送电子邮件的过程SMTP特性工作流程 电子邮件格式MIME关键组件工作方式 POP/IMAPPOP&#xff08;邮局协议&#xff09;IMAP&#xff08;因特网邮件访问协议&#xff09; 基于万维网的电子邮箱特点优势常见的基…...

Java18新特性(极简)

一、引言 自1995年Java语言首次亮相以来&#xff0c;它已经成为企业级应用、移动应用和游戏开发等领域不可或缺的一部分。随着技术的不断进步&#xff0c;Java也在持续演化&#xff0c;每个新版本都带来了诸多新特性和性能优化&#xff0c;旨在提升开发者的编程效率和应用程序的…...

vscode连接ssh远程服务器

当使用Visual Studio Code (VSCode) 连接SSH远程服务器时&#xff0c;可以遵循以下步骤。这些步骤将帮助你设置并连接到远程服务器&#xff0c;包括免密登录的设置&#xff08;如果需要&#xff09;。 一、安装并配置Remote-SSH插件 下载并安装VSCode&#xff1a;确保你已经下…...

【趣味测试】

编程过程中遇到的趣味知识 1 Cpp 1.1 浮点数计算 if (0.1 0.2 0.3) {std::cout << "0.1 0.2 0.3 true" << std::endl;} else {std::cout << "0.1 0.2 0.3 false" << std::endl;}if (0.1 0.3 0.4) {std::cout << &…...

数据结构经典面试之数组——C#和C++篇

文章目录 1. 数组的基本概念与功能2. C#数组创建数组访问数组元素修改数组元素数组排序 3. C数组创建数组访问数组元素修改数组元素数组排序 4. 数组的实际应用与性能优化5. C#数组示例6. C数组示例总结 数组是编程中常用的数据结构之一&#xff0c;它用于存储一系列相同类型的…...

docker的基本知识

文章目录 前言docker的基本知识1. docker 的底层逻辑2. docker 的核心要素2.1. 镜像的基本概念:2.2. 容器的基本概念:2.3. 仓库的基本概念: 前言 如果您觉得有用的话&#xff0c;记得给博主点个赞&#xff0c;评论&#xff0c;收藏一键三连啊&#xff0c;写作不易啊^ _ ^。   …...

React Native性能优化红宝书

一、React Native介绍 React Native 是Facebook在React.js Conf2015 推出的开源框架&#xff0c;使用React和应用平台的原生功能来构建 Android 和 iOS 应用。通过 React Native&#xff0c;可以使用 JavaScript 来访问移动平台的 API&#xff0c;使用 React 组件来描述 UI 的…...

后端不提供文件流接口,前台js使用a标签实现当前表格数据(数组非blob数据)下载成Excel

前言&#xff1a;开发过程中遇到的一些业务场景&#xff0c;如果第三方不让使用&#xff0c;后端不提供接口&#xff0c;就只能拿到table数据(Array)&#xff0c;实现excel文件下载。 废话不多说&#xff0c;直接上代码&#xff0c;方法后续自行封装即可&#xff1a; functio…...

如何使用ChatGPT辅助设计工作

文章目录 设计师如何使用ChatGPT提升工作效率&#xff1f;25个案例告诉你&#xff01;什么是 prompt&#xff1f;咨询信息型 prompt vs 执行任务 prompt编写出色 prompt 的基本思路撰写 prompt 的案例和技巧1、将 ChatGPT 视作专业人士2、使用 ChatGPT 创建表单3、使用 ChatGPT…...

hadoop服务器启动后无法执行hdfs dfs命令

集群启动后&#xff0c;无法正常使用hdfs的任何命令。使用jps查看进程&#xff0c;发现namenode没有启动&#xff0c;然后再进入到Hadoop的相应目录&#xff0c;打开里面的logs文件 打开Hadoop的master的log 再使用vi编辑器查看&#xff08;也可以用less或者more命令查看&#…...

Flink 1.19.1 standalone 集群模式部署及配置

flink 1.19起 conf/flink-conf.yaml 更改为新的 conf/config.yaml standalone集群: dev001、dev002、dev003 config.yaml: jobmanager address 统一使用 dev001&#xff0c;bind-port 统一改成 0.0.0.0&#xff0c;taskmanager address 分别更改为dev所在host dev001 config.…...

【深度学习】GELU激活函数是什么?

torch.nn.GELU 模块在 PyTorch 中实现了高斯误差线性单元&#xff08;GELU&#xff09;激活函数。GELU 被用于许多深度学习模型中&#xff0c;包括Transformer&#xff0c;因为它相比传统的 ReLU&#xff08;整流线性单元&#xff09;函数能够更好地近似神经元的真实激活行为。…...

如何编译和运行您的第一个Java程序

​ 如何编译和运行您的第一个Java程序 让我们从一个简单的java程序开始。 简单的Java程序 这是一个非常基本的java程序&#xff0c;它会打印一条消息“这是我在java中的第一个程序”。 ​ public class FirstJavaProgram {public static void main(String[] args){System.…...

vscode用vue框架写一个登陆页面

目录 一、创建登录页面 二、构建好登陆页面的路由 三、编写登录页代码 1.添加基础结构 2.给登录页添加背景 3.解决填充不满问题 4.我们把背景的红颜色替换成背景图&#xff1a; 5.在页面中央添加一个卡片来显示登录页面 6.设置中间卡片页面的左侧 7.设置右侧的样式及…...

腾讯云API安全保障措施?有哪些调用限制?

腾讯云API的调用效率如何优化&#xff1f;怎么使用API接口发信&#xff1f; 腾讯云API作为腾讯云提供的核心服务之一&#xff0c;广泛应用于各行各业。然而&#xff0c;随着API应用的普及&#xff0c;API安全问题也日益突出。AokSend将详细探讨腾讯云API的安全保障措施&#x…...

在建设工程合同争议案件中,如何来认定“竣工验收”?

在建设工程合同争议案件中&#xff0c;如何来认定“竣工验收”&#xff1f; 建设工程的最终竣工验收&#xff0c;既涉及在建设单位组织下的五方单位验收&#xff0c;又需政府质量管理部门的监督验收以及竣工验收备案&#xff0c;工程档案还需递交工程所在地的工程档案馆归档。…...

Linux:多线程中的互斥与同步

多线程 线程互斥互斥锁互斥锁实现的原理封装原生线程库封装互斥锁 死锁避免死锁的四种方法 线程同步条件变量 线程互斥 在多线程中&#xff0c;如果存在有一个全局变量&#xff0c;那么这个全局变量会被所有执行流所共享。但是&#xff0c;资源共享就会存在一种问题&#xff1…...

数据仓库之主题域

数据仓库的主题域&#xff08;Subject Area&#xff09;是按照特定业务领域或主题对数据进行分类和组织的方式。每个主题域集中反映一个特定的业务方面&#xff0c;使得数据分析和查询更加清晰和高效。主题域通常与企业的关键业务过程相关&#xff0c;能够帮助用户在数据仓库中…...

【简易版tinySTL】 vector容器

文章目录 基本概念功能思路代码实现vector.htest.cpp 代码详解变量构造函数析构函数拷贝构造operatorpush_backoperator[]insertprintElements 本实现版本 和 C STL标准库实现版本的区别&#xff1a; 基本概念 vector数据结构和数组非常相似&#xff0c;也称为单端数组vector与…...

BRAVE:扩展视觉编码能力,推动视觉-语言模型发展

视觉-语言模型&#xff08;VLMs&#xff09;在理解和生成涉及视觉与文本的任务上取得了显著进展&#xff0c;它们在理解和生成结合视觉与文本信息的任务中扮演着重要角色。然而&#xff0c;这些模型的性能往往受限于其视觉编码器的能力。例如&#xff0c;现有的一些模型可能对某…...

使用 Verdaccio 建立私有npm库

网上有很多方法,但很多没标注nginx的版本所以踩了一些坑,下方这个文档是完善后的,对linux不是很熟练,所以不懂linux不会搭建的跟着做就可以了 搭建方法 首先需要一台云服务器 以139.196.226.123为例登录云服务器 下载node cd /usr/local/lib下载node 解压 下载 wget https://…...

个人职业规划(含前端职业+技术线路)

1. 了解自己的兴趣与长处 喜欢擅长的事 职业方向 2. 设定长期目标&#xff08;5年&#xff09; 目标内容 建立自己的品牌建立自己的社交网络 适量参加社交活动&#xff0c;认识更多志同道合的小伙伴寻求导师指导 建立自己的作品集 注意事项 每年元旦进行审视和调整永葆积极…...

LeetCode | 344.反转字符串

设置头尾两个指针&#xff0c;依靠中间变量temp交换头尾指针所指元素&#xff0c;头指针后移&#xff0c;尾指针前移&#xff0c;直到头尾指针重合或者头指针在尾指针后面一个元素 class Solution(object):def reverseString(self, s):""":type s: List[str]:r…...

一步一步用numpy实现神经网络各种层

1. 首先准备一下数据 if __name__ "__main__":data np.array([[2, 1, 0],[2, 2, 0],[5, 4, 1],[4, 5, 1],[2, 3, 0],[3, 2, 0],[6, 5, 1],[4, 1, 0],[6, 3, 1],[7, 4, 1]])x data[:, :-1]y data[:, -1]for epoch in range(1000):...2. 实现SoftmaxCrossEntropy层…...

vue学习(二)

9.vue中的数据代理 通过vm对象来代理data对象中的属性操作&#xff08;读写&#xff09;&#xff0c;目的是为了更加方便操作data中的数据 基本原理&#xff1a;通过Object.defineProperty()把data对象所有属性添加到vm上&#xff0c;为每一个添加到vm上的属性&#xff0c;都增…...

Maven 介绍

Maven open in new window 官方文档是这样介绍的 Maven 的&#xff1a; Apache Maven is a software project management and comprehension tool. Based on the concept of a project object model (POM), Maven can manage a projects build, reporting and documentation fr…...

QT截图程序三-截取自定义多边形

上一篇文章QT截图程序&#xff0c;可多屏幕截图二&#xff0c;增加调整截图区域功能-CSDN博客描述了如何截取&#xff0c;具备调整边缘功能后已经方便使用了&#xff0c;但是与系统自带的程序相比&#xff0c;似乎没有什么特别&#xff0c;只能截取矩形区域。 如果可以按照自己…...

Unity的三种Update方法

1、FixedUpdate 物理作用——处理物理引擎相关的计算和刚体的移动 (1) 调用时机&#xff1a;在固定的时间间隔内&#xff0c;而不是每一帧被调用 (2) 作用&#xff1a;用于处理物理引擎的计算&#xff0c;例如刚体的移动和碰撞检测 (3) 特点&#xff1a;能更准确地处理物理…...

wordpress外接api/宁波网络推广产品服务

本文实例讲述了thinkPHP5 ajax提交表单操作。分享给大家供大家参考&#xff0c;具体如下&#xff1a;function ajaxPost(){var formData $("#myform").serialize();//serialize() 方法通过序列化表单值&#xff0c;创建 URL 编码文本字符串,这个是jquery提供的方法$…...

犀牛云做网站费用/保定百度推广联系电话

工厂模式&#xff0c;无非可以用下面的代码来概括 public class GoodsFactory {public static void create() {return new Goods();} } 这是他最简洁的形式。但是看完这一章以后&#xff0c;我很疑惑&#xff0c;最简洁的形式都感觉很多余&#xff0c;因为这个工厂类和方法是不…...

wordpress分类自定义字段/360优化大师历史版本

Mysql高级-day02 1. Mysql的体系结构概览 整个MySQL Server由以下组成 Connection Pool : 连接池组件Management Services & Utilities : 管理服务和工具组件SQL Interface : SQL接口组件Parser : 查询分析器组件Optimizer : 优化器组件Caches & Buffers : 缓冲池组件…...

南昌网站建设价位/游戏搬砖工作室加盟平台

错误原因&#xff1a;数据库没有被找到 可能原因&#xff1a;数据库名字不符&#xff0c;或打错 错误原因&#xff1a;值没有被定义 可能原因&#xff1a;单词错误 错误原因&#xff1a;SQL语句符号错误 原因&#xff1a;点改为逗号 错误原因&#xff1a;字段中balabce错误 …...

湘潭市建设工程质量监督站网站/查权重工具

什么是B/S架构的项目系统结构及开发流程&#xff1f; B/S 中文浏览器服务器英文BrowserServer 其实就是浏览器和服务器&#xff0c;简写成了B/S...

徐州网站平台/原创文章代写

转自&#xff1a;http://www.pinlue.com/article/2019/09/1413/469615040316.html...