当前位置: 首页 > news >正文

一些硬件知识(十二)

1、请说明一下滤波磁珠和滤波电感的区别。

因此磁珠通常用于模数地的连接。

磁珠由导线穿过铁氧体组成,直流电阻很小,在低频时阻抗也很小,对直流信号几乎没有影响。

在高频(几十兆赫兹以上)时磁珠阻抗比较大,高频电磁场在铁氧体材料上产生涡流,使高频干扰信号转化为热量消耗掉。

磁珠常用于高频电路模块的电源滤波和高频信号回路滤波,抑制EMI干扰。

电感由线圈和磁芯组成,直流电阻较小,电感量较大。电感多用于中低频电路的滤波,侧重于抑制传导性干扰,其应用频率在几十兆赫兹以下。

4、请问电阻、电容、电感的封装大小分别与什么参数有关?

电阻封装大小与电阻值、额定功率有关;

电容封装大小与电容值、额定电压有关;(电容选型看额定电压)

电感封装大小与电感量、额定电流有关。(电感选型看额定电流)

5、电阻选型需要注意哪些参数?

电阻值、精度、功率(在实际电路上换算出承受最大电流、最大电压)、封装。

6、电容选型需要注意哪些参数?

电容值、精度、耐压、封装。

7、电感选型需要注意哪些参数?

电感量(包括测量频率)、精度、最大承受电流、封装。、

8、磁珠选型需要注意哪些参数?

阻抗值(包括测量频率)、精度、最大承受电流、直流电阻(换算出最大直流压降)、封装。

9、整流二极管选型需要注意哪些参数?

最大整流电流、最大反向工作电压、正向导通压降、封装。

9、整流二极管选型需要注意哪些参数?

最大整流电流、最大反向工作电压、正向导通压降、封装。

10、开关MOS管选型需要注意哪些参数?

最小开启电压Vgs(th)、最大栅源电压Vgs(max)、最大漏源电压Vds、最大漏源电流Id、导通电阻Rds(on)、耗散功率、封装。

12、理想电容两端的电压和电流的相位关系是:同相、反相、电压超前电流90°、电流超前电压90°?

电流超前电压90°。

14、请列举一下零电阻的作用。

1)线路上的跨接跳线;2)可选的配置电路;3)调试预留位置;

4)保险丝;5)不同地的单点连接。

15、请简述压敏电阻工作原理。

当压敏电阻上的电压超过一定幅度时,电阻的阻值降低,从而将浪涌能量泄放掉,并将浪涌电压限制在一定的幅度。

压敏电阻是电压敏感,不是压力敏感!!!!!

16、请简述PTC热敏电阻作为电源电路保险丝的工作原理。

当电源输入电压增大或负载过大导致电流异常增大的时候,PTC热敏电阻因为温度增大而使其等效电阻迅速增大,从而使输出电压下降,减小输出电流。

当故障去除,PTC热敏电阻恢复到常温,其电阻又变的很小,电源电路恢复到正常工作状态。

PTC的恢复速度很快,亲眼所见。

当电路短路时,电流会变得特别大。短路是一种电路故障,指的是电流通过一个非常小的电阻路径而不是通过正常负载。由于欧姆定律(V=IR),当电阻(R)非常小甚至为零时,在相同的电压(V)下,电流(I)会非常大。这种情况会导致电线过热、设备损坏,甚至可能引起火灾。因此,电路中通常会安装保险丝或断路器,以在短路发生时迅速切断电流,保护电路和设备的安全。

19、请列举一下上拉电阻的作用。

1)上电复位时,端口电平配置;2)OC和OD门上拉确定高电平;

3)提高输出端口的高电平;4)加大输出引脚的驱动能力;

5)降低输入阻抗,防止静电损伤;6)提高总线的抗电磁干扰能力;

7)匹配电阻,抑制反射波干扰。

比较典型的是IIC的两条线需要上拉电阻做上拉处理。

20、请问共模电感的作用是什么?

抑制共模干扰。

22、绿色发光二极管的导通压降大概是多少伏?

2V 左右。

25、请简述 TVS瞬态电压抑制二极管的工作原理。

当 TVS上的电压超过一定幅度时,器件迅速导通,从而将浪涌能量泄放掉,并将浪涌电压限制在一定的幅度。

27、请列举您知道的二极管类型?

开关二极管(小信号二极管)、肖特基二极管、整流二极管、稳压二极管(齐纳二极管)、瞬态电压抑制二极管( TVS)、变容二极管、发光二极管( LED)。

整流二极管(Rectifier Diode)和普通二极管(General Purpose Diode)在功能和设计上有一些区别:

  1. 应用目的:

    • 普通二极管: 主要用于一般的信号整形、逻辑电路和电源保护等应用,通常用于低频和小信号电路。
    • 整流二极管: 专门设计用于电源电路中的整流作用,用于将交流电(AC)转换为直流电(DC)。
  2. 额定电压:

    • 普通二极管: 通常在数伏至数百伏之间,适合于低至中等电压应用。
    • 整流二极管: 可以支持更高的额定反向电压,通常从几十伏到数百伏不等,以应对高压整流需求。
  3. 电流容量:

    • 普通二极管: 适用于小到中等电流应用,一般的普通二极管的电流容量较低。
    • 整流二极管: 具有更高的电流承受能力,适合于需要大电流整流的场合,如电源线路和电动机控制等。
  4. 结构设计:

    • 普通二极管: 结构相对简单,一般由P型和N型半导体材料组成。
    • 整流二极管: 在结构上更加复杂,通常采用多层结构或增强散热设计,以提高耐压和耐电流能力。
  5. 性能特点:

    • 普通二极管: 主要特点是快速响应、低功耗和低噪声。
    • 整流二极管: 主要特点是大电流承受能力、低反向漏电流和高耐压能力。

小功率过压保护:阈值电压为5.1V

当电压低于5.1V的时候,电路导通情况如下所示:
当输入电压大于5.7V的时候,电路的导通情况:

36、请问电荷泵升压电路一般应用在什么场合?电荷泵可以胜任大电流的应用吗, 为什么?

电荷泵通过开关对电容充放电实现升压,因为电路没有电感元件储能,驱动能力较弱,只可以用于小电流场合。

38、请列举您知道的各国电子产品电气安全认证标准?

美国:FCC、欧洲:CE、德国:TUV-GS、中国:CCC、台湾:BSMI、日本:VCCI、澳洲:C-Tick。

37、常规 EMC测试项目有哪些?

1) 传导发射干扰测试2) 辐射发射干扰测试3) 传导干扰抗扰度测试

4) 辐射干扰抗扰度测试5) ESD 抗扰度测试6) 电快速瞬变脉冲群抗扰度测试

7) 浪涌抗扰度测试8) 工频磁场抗扰度测试9) 谐波与闪烁测试

10) 电压跌落、短时中断和电压变化抗扰度测试

40、请解释 WatchDog(看门狗)的工作原理。

看门狗有两个重要信号:时钟输入和复位输出。电路工作时, CPU送出时钟信号给看门狗,即喂狗。如果系统出现故障, CPU无法送出连续的时钟信号,看门狗即输出复位信号给 CPU,复位系统。

43、名词解释:耦合、去耦、旁路、滤波。

耦合:两个本来分开的电路之间或一个电路的两个本来相互分开的部分之间的交链。可使能量从一个电路传送到另一个电路,或由电路的一个部分传送到另一部分。

去耦:阻止从一电路交换或反馈能量到另一电路,防止发生不可预测的反馈,影响下一级放大器或其它电路正常工作。

旁路:将混有高频信号和低频信号的信号中的高频成分通过电子元器件(通常是电容)过滤掉,只允许低频信号输入到下一级,而不需要高频信号进入。

滤波:滤波是将信号中特定波段频率滤除的操作,是抑制和防止干扰的一项重要措施。

45、无源滤波器和有源滤波器有什么区别?

无源滤波器由无源器件R、L、C组成,将其设计为某频率下极低阻抗,对相应频率谐波电流进行分流,其行为模式为提供被动式谐波电流旁路通道。

无源滤波器可分为两大类:调谐滤波器和高通滤波器。无源滤波器结构简单、成本低廉、运行可靠性高,是应用广泛的被动式谐波治理方案。有源滤波器由有源器件(如集成运放)和R、C组成,不用电感L、体积小、重量轻。

有源滤波器实际上是一种具有特定频率响应的放大器。集成运放的开环电压增益和输入阻抗很高,输出电阻很小,构成有源滤波电路后有一定的电压放大和缓冲作用。集成运放带宽有限,所以有源滤波器的工作频率做不高。

47、请问RS-232C标准的逻辑0和逻辑1电压范围是多少?CAN和RS485收发器工作电平是几伏?

RS-232C电气标准是负逻辑,逻辑0的电压范围是+5V~+15V,逻辑1的电压范围是-5V~-15V。

CAN收发器工作电平是5V,RS485收发器工作电平是3.3V。

9、请问串口异步通信的字符帧格式由哪几部分组成?

由起始位、数据位、奇偶校验位和停止位四部分组成。

55、您所遇到的需要控制单端阻抗为50欧姆、75欧姆的信号有哪些?您所遇到的需要控制差分阻抗为90欧姆、100欧姆、120欧姆的信号有哪些?

一般的高频信号线均为50欧姆~60欧姆。75欧姆主要是视频信号线。USB信号线差分阻抗为90欧姆,以太网差分信号线差分阻抗为100欧姆。RS422、RS485、CAN差分信号的差分阻抗为120欧姆。

59、请问什么是通孔、盲孔和埋孔?孔径多大可以做机械孔,孔径多小必须做激光孔?请问激光微型孔可以直接打在元件焊盘上吗,为什么?

通孔是贯穿整个PCB的过孔,盲孔是从PCB表层连接到内层的过孔,埋孔是埋在PCB内层的过孔。

大多数PCB厂家的加工能力是这样的:大于等于8mil的过孔可以做机械孔,小于等于6mil的过孔需要做激光孔。对小于等于6mil的微型孔,在钻孔空间不够时,允许一部分过孔打在PCB焊盘上。

再总结60条硬件设计基础知识!

半孔工艺:

详细工艺参考嘉立创:

嘉立创FPC_FPC设计指南|补强设计|钢片设计|PI设计|FR4设计|背胶设计|电磁膜设计 (jlc-fpc.com)

A 半孔孔边到板边距离≥1MM     B 半孔直径大小≥0.6MM      C 半孔孔边到孔边≥0.6MM

D 半孔单边焊环0.25mm(极限0.18mm)以上

金手指设计:

FPC的三种补强方式:

FPC补强板 | 嘉立创EDA专业版用户指南 (lceda.cn)

立创EDA圆弧走线:

直接放置测试点比开窗方便:

异形焊盘:

相关文章:

一些硬件知识(十二)

1、请说明一下滤波磁珠和滤波电感的区别。 因此磁珠通常用于模数地的连接。 磁珠由导线穿过铁氧体组成,直流电阻很小,在低频时阻抗也很小,对直流信号几乎没有影响。 在高频(几十兆赫兹以上)时磁珠阻抗比较大&#xff0…...

Adobe Acrobat编辑器最新版下载安装 Adobe Acrobat版本齐全!

功能强大,Adobe Acrobat无疑是PDF文档处理领域的翘楚。这款软件集多种PDF文档处理功能于一身,不仅使得用户可以轻松地编辑PDF文档,更能轻松应对转换和合并等多种需求。 在编辑功能上,Adobe Acrobat的表现尤为出色。无论是添加文字…...

k8s如何使用 HPA 实现自动扩展

使用Horizontal Pod Autoscaler (HPA) 实验目标: 学习如何使用 HPA 实现自动扩展。 实验步骤: 创建一个 Deployment,并设置 CPU 或内存的资源请求。创建一个 HPA,设置扩展策略。生成负载,观察 HPA 如何自动扩展 Pod…...

Hi3861 OpenHarmony嵌入式应用入门--0.96寸液晶屏 iic驱动ssd1306

使用iic驱动ssd1306,代码来源hihope\hispark_pegasus\demo\12_ssd1306 本样例提供了一个HarmonyOS IoT硬件接口的SSD1306 OLED屏驱动库,其功能如下: 内置了128*64 bit的内存缓冲区,支持全屏刷新;优化了屏幕刷新速率,…...

代码随想录训练营第二十二天 77组合

第一题: 原题链接:77. 组合 - 力扣(LeetCode) 思路: 经典的回溯模板题: 终止条件,当中间变量用来存储单个结果的大小等于k,则将中间变量存放到结果数组中。 一个for循环横向遍历…...

Unity踩坑记录

1. 如果同时在父物体和子物体上挂载BoxCollider&#xff0c;那么当使用&#xff1a; private void OnTriggerEnter2D(Collider2D collision){if (collision.CompareTag("CardGroup")){_intersectCardGroups.Add(collision.GetComponent<CardGroup>());}} 来判…...

内容安全复习 1 - 信息内容安全概述

文章目录 信息内容安全简介网络空间信息内容安全大模型 人工智能简介 信息内容安全简介 网络空间 网络空间是融合物理域、信息域、认知域和社会域&#xff0c;控制实体行为的信息活动空间。 上图展示了网络空间安全的结构。可以看到将网络空间划分为了网络域和内容域两个部分。…...

【深度学习】python之人工智能应用篇--跨模态生成技术

跨模态生成技术概述 跨模态生成技术是一种将不同模态的数据&#xff08;如文本、图像、音频、视频等&#xff09;进行融合和转换的技术。其目标是通过将一个模态的数据作为输入&#xff0c;生成与之对应的另一个模态的输出。这种技术对于突破单一模态的局限性&#xff0c;提高…...

springboot中获取某个注解下面的某个方法的方法名,参数值等等详细实例

在Spring Boot应用中&#xff0c;获取某个类或方法上的注解及其相关信息&#xff0c;包括方法名称、参数值等&#xff0c;通常涉及到反射和Spring的AOP&#xff08;面向切面编程&#xff09;特性。下面是一个示例&#xff0c;展示如何利用Spring AOP的Around注解来拦截带有特定…...

代码随想录——跳跃游戏Ⅱ(Leetcode 45)

题目链接 贪心 class Solution {public int jump(int[] nums) {if(nums.length 1){return 0;}int count 0;// 当前覆盖最远距离下标int curDistance 0;// 下一步覆盖距离最远下标int nextDistance 0;for(int i 0; i < nums.length; i){nextDistance Math.max(nums[…...

从0-1搭建一个web项目(package.json)详解

本章分析package.json文件详解 本文主要对packge.json配置子文件详解 ObJack-Admin一款基于 Vue3.3、TypeScript、Vite3、Pinia、Element-Plus 开源的后台管理框架。在一定程度上节省您的开发效率。另外本项目还封装了一些常用组件、hooks、指令、动态路由、按钮级别权限控制等…...

图解ReentrantLock的基石AQS-独占锁的获取与释放

大家好&#xff0c;我是呼噜噜&#xff0c;我们之前聊过Java中以互斥同步的方式保证线程安全&#xff1a;Sychronized&#xff0c;这次我们来再聊聊另一种互斥同步的方式Lock&#xff0c;本文会介绍ReentrantLock及其它的基石AQS的源码解析&#xff0c;一个非常重要的同步框架 …...

Perl语言入门学习读物

1. PERL 是什么? Perl 最初的设计者为Larry Wall&#xff0c;Perl借取了C、sed、awk、shell scripting以及很多其他程序语言的特性。Perl一般被称为“实用报表提取语言”(PracticalExtraction andReportLanguage)&#xff0c;有时也被称做“病态折中垃圾列表器”(Pathologica…...

电脑浏览器问题

网络连接正常&#xff0c;但是浏览器就是打不开网页&#xff0c;显示未连接什么的。 搞了半天&#xff0c;不是代理服务器问题。 也不是端口问题。 也不是软件版本问题。 竟然是浏览器插件的问题&#xff0c;插件禁用&#xff0c;奇迹般的好了。 参考&#xff1a; 电脑有网…...

[Docker] Ubuntu安装Home Assistant

本文主要记载一些Ubuntu安装Home Assistant的细节,方便后面重装。 1. 安装Docker 安装依赖 $ sudo apt-get install \apt-transport-https \ca-certificates \curl \gnupg-agent \software-properties-common添加 Docker 官方 GPG 密钥 $ curl -fsSL https://mirrors.ustc…...

浅谈请求中数据转换

目录 1. 前端 JS 数据类型2. JSON 数据类型&#xff08;数据传输格式&#xff09;3. 后端 Java 数据类型4. 后端序列化框架 Fastjson && Jackson 转换4.1. JSON 转换 Java4.2. Java 转换 JSON 1. 前端 JS 数据类型 数据类型示例Stringvar str 张三Number (数字)var a…...

Flutter学习:从搭建环境到运行

一、开发环境的搭建 本文所示内容都是在Windows系统下进行的。 1、下载 Flutter SDK Flutter 官网&#xff08;https://docs.flutter.cn/release/archive?tabwindows&#xff09; 或者通过 git clone -b master https://github.com/flutter/flutter.git 下载 2、配置环境…...

sheng的学习笔记-AI-聚类(Clustering)

ai目录 sheng的学习笔记-AI目录-CSDN博客 基础知识 什么是聚类 在“无监督学习”(unsupervised learning)中&#xff0c;训练样本的标记信息是未知的&#xff0c;目标是通过对无标记训练样本的学习来揭示数据的内在性质及规律&#xff0c;为进一步的数据分析提供基础。此类学…...

从0构建一个录制UI测试工具

很多UI自动化测试工具都具备录制UI自动化测试的能力&#xff0c;例如playwright&#xff0c;可以通过playwright vscode插件完成录制&#xff0c;如下图所示&#xff0c;当选择录制脚本时&#xff0c;会打开一个浏览器&#xff0c;在浏览器中输入被测应用url&#xff0c;用户在…...

代码随想录算法训练营第五十一天|LeetCode72 编辑距离、LeetCode647 回文子串、LeetCode516 最长回文子序列、动态规划的小总结

题1&#xff1a; 指路&#xff1a;72. 编辑距离 - 力扣&#xff08;LeetCode&#xff09; 思路与代码&#xff1a; 关于dp数组的定义&#xff0c;我们定义一个二维数组dp[i][j]&#xff0c;其含义为以i-1为结尾的字符串word1和以j-1为结尾的字符串word2&#xff0c;最近编辑…...

sessionStorage 能在多个标签页之间共享数据吗?

&#x1f9d1;‍&#x1f4bb; 写在开头 点赞 收藏 学会&#x1f923;&#x1f923;&#x1f923; 最近&#xff0c;我的一个朋友在面试中被一个关于 sessionStorage 的问题难住了。我们来聊聊这个话题。 sessionStorage 能在多个标签页之间共享数据吗&#xff1f; 在回答…...

鸿蒙期末项目(完结)

两天仅睡3个小时的努力奋斗之下&#xff0c;终于写完了这个无比拉跨的项目&#xff0c;最后一篇博客总体展示一下本项目运行效果兼测试&#xff0c;随后就是答辩被同学乱沙&#xff08;悲 刚打开软件&#xff0c;会看到如下欢迎界面&#xff0c;介绍本app的功能和优点 随后我们…...

【Linux】对共享库加载问题的深入理解——基本原理概述

原理概述 【linux】详解——库-CSDN博客 共享库被加载后&#xff0c;系统会为该共享库创建一个结构&#xff0c;这个结构体中的字段描述了库的各种属性。在内存中可能会加载很多库&#xff0c;每一个库都用一个结构体描述。把这些结构体用一些数据结构管理起来&#xff0c;系…...

easyui的topjui前端框架使用指南

博主今天也是第一次点开easyui的商业搜权页面&#xff0c;之前虽然一直在使用easyui前端框架&#xff08;easyui是我最喜欢的前端ui框架&#xff09;&#xff0c;但是都是使用的免费版。 然后就发现了easyui的开发公司居然基于easyui开发出了一个新的前端框架&#xff0c;于是我…...

Java中的程序异常处理介绍

一、异常处理机制 Java提供了更加优秀的解决办法&#xff1a;异常处理机制。 异常处理机制能让程序在异常发生时&#xff0c;按照代码的预先设定的异常处理逻辑&#xff0c;针对性地处理异常&#xff0c;让程序尽最大可能恢复正常并继续执行&#xff0c;且保持代码的清晰。 Ja…...

Gradle学习-3 Gradle插件

1、Gredle插件是什么 Gradle插件是用于扩展和增强Gradle构建系统的功能模块通过插件&#xff0c;Gradle可以执行各种构建任务&#xff0c;如编译代码、打包应用、运行测试等 Gradle插件主要分为&#xff1a;二进制插件、脚本插件 二进制插件二进制插件是预编译的、可以复用的…...

百度文心智能体,创建属于自己的智能体应用

百度文心智能体平台为你开启。百度文心智能体平台&#xff0c;创建属于自己的智能体应用。百度文心智能体平台是百度旗下的智能AI平台&#xff0c;集成了先进的自然语言处理技术和人工智能技术&#xff0c;可以用来创建属于自己的智能体应用&#xff0c;访问官网链接&#xff1…...

【软件测试】白盒测试与接口测试详解

&#x1f345; 视频学习&#xff1a;文末有免费的配套视频可观看 &#x1f345; 点击文末小卡片&#xff0c;免费获取软件测试全套资料&#xff0c;资料在手&#xff0c;涨薪更快 一、什么是白盒测试 白盒测试是一种测试策略&#xff0c;这种策略允许我们检查程序的内部结构&a…...

【SpringBoot Web框架实战教程】03 SpingBoot 获取 http 请求参数

不积跬步&#xff0c;无以至千里&#xff1b;不积小流&#xff0c;无以成江海。大家好&#xff0c;我是闲鹤&#xff0c;微信&#xff1a;xxh_1459&#xff0c;十多年开发、架构经验&#xff0c;先后在华为、迅雷服役过&#xff0c;也在高校从事教学3年&#xff1b;目前已创业了…...

Mac14.1.2 M1芯片免费读写ntfs硬盘-亲测有效,免费!!!

1. 安装homebrew 打开终端&#xff0c;使用以下命令 /bin/zsh -c "$(curl -fsSL https://gitee.com/cunkai/HomebrewCN/raw/master/Homebrew.sh)" 根据提示逐步完成即可&#xff0c;镜像选择我这里都是保持1的选项。 2. 重启终端 安装完成homebrew后&#xff0c;需…...

手写SpringMVC之ApplicationContextListener

什么是Spring MVC&#xff1f; Spring Web MVC是基于Servlet API构建的原始Web框架&#xff0c;从一开始就包含在Spring Framework中。正式名称“Spring Web MVC”来自其源模块的名称&#xff08; spring-webmvc &#xff09;&#xff0c;但它通常被称为“Spring MVC”。 手写…...

Paimon 在汽车之家的业务实践

汽车之家基于Paimon的实践 摘要&#xff1a;本文分享自汽车之家的王刚、范文、李乾⽼师。介绍了汽车之家基于 Paimon 的一些实践&#xff0c;和一些背景。内容主要为以下四部分&#xff1a; 一、背景 二、业务实践 三、paimon 优化实践 四、未来规划 一、背景 在使用Paimon之前…...

2024-06-27 问AI: 介绍一下 LLM building process

文心一言 LLM&#xff08;Large Language Model&#xff0c;大语言模型&#xff09;的构建过程是一个复杂且资源密集的任务&#xff0c;涉及多个关键步骤。以下是LLM构建过程的主要阶段&#xff0c;以及每个阶段的一些关键考虑因素&#xff1a; 数据收集与预处理&#xff1a;…...

猫也有自动厕所上了吗?自费分享好用的智能猫砂盆,看完不亏。

还有人在用普通猫砂盘吗&#xff1f;之前我也是用的普通猫砂盘&#xff0c;但我发现只要我在上班时间&#xff0c;我就无法顾忌到小猫的便便&#xff0c;但又不想回家就闻到一股臭味&#xff0c;更何况现在夏天也快到了&#xff0c;便便残留一会就会发酵发臭&#xff0c;导致生…...

《分析模式》漫谈07-怎样把一张图从不严谨改到严谨

DDD领域驱动设计批评文集 做强化自测题获得“软件方法建模师”称号 《软件方法》各章合集 下图是《分析模式》原书第2章的图2.10&#xff0c;里面有一些错误和考虑不周的地方&#xff1a; 2004中译本和2020中译本的翻译如下&#xff1a; 基本上都是照搬&#xff0c;没有改过…...

纯干货丨知乎广告投放流程和避坑攻略

精准有效的广告投放企业获客的关键&#xff0c;知乎作为中国最大的知识分享平台&#xff0c;拥有着高质量的用户群体和高度的用户粘性&#xff0c;为广告主提供了独一无二的品牌传播与产品推广平台。然而&#xff0c;如何在知乎上高效、精准地进行广告投放&#xff0c;避免不必…...

mac 安装mysql启动报错 ERROR!The server quit without update PID file

发现问题&#xff1a; mac安装mysql初次启动报错&#xff1a; 一般出现这种问题&#xff0c;大多是文件夹权限&#xff0c;或者以前安装mysql卸载不干净导致。首先需要先确定问题出在哪&#xff1f;根据提示我们可以打开mysql的启动目录&#xff0c;查看启动日志。 问题解决&a…...

TypeScrip环境安装与基础

TS环境安装与基础 文章目录 一、什么是TypeScript&#xff08;微软开发的&#xff09;二、TypeScript的特性三、环境安装node安装配置详解&#xff08;常用&#xff1a;outDir&#xff0c;strict &#xff09; 四、注释方式五、数据类型 一、什么是TypeScript&#xff08;微软开…...

6.27学习总结

一、高数 1、斯托克斯公式&#xff08;曲线<->曲面&#xff09;&#xff1a;看清顺时针&#xff08;负&#xff09;/逆时针&#xff08;正&#xff09; 2、曲面方程变二重积分&#xff1a; 前、上、右&#xff1a;正&#xff1b; 后、下、左&#xff1a;负&#xff1b; 3…...

选择第三方软件测试机构做验收测试的好处简析

企事业单位在自行开发完软件系统或委托软件开发公司生产软件之后&#xff0c;有一个必经流程就是验收测试&#xff0c;以验证该产品是否符合用户需求、是否可以上线。为了客观评估所委托生产的软件质量&#xff0c;第三方软件测试机构往往成为企事业单位做验收测试的首选&#…...

【图书推荐】CPython设计与实现“适合所有Python工程师阅读的书籍”

目录 一、图书推荐 |【CPython设计与实现】 1.1、书籍介绍 1.2、内容简介 1.3、适合哪些人阅读 1.4、作者译者简介 1.5、购买链接 一、图书推荐 |【CPython设计与实现】 "深入Python核心&#xff0c;揭秘CPython的设计智慧&#xff01;&#x1f4d6; 对于每一位热衷…...

原创作品—医疗行业软件界面UI、交互设计

在医疗行业大屏UI设计中&#xff0c;首要的是以用户为中心&#xff0c;深入理解医生、护士、管理层等用户群体的具体需求和工作流程。大屏设计应直观展示关键医疗数据、患者信息、设备状态等&#xff0c;确保用户能够迅速、准确地获取所需信息。同时&#xff0c;功能布局应合理…...

[C++深入] --- vector容器浅析

vector是一个封装了动态大小数组的顺序容器,它能够存放各种类型的对象。 可以删除元素、可以插入元素、可以查找元素,做这些工作我们无需管理容器内存。容器内存管理,这种脏活累活全部交由vector管理。了解一下vector的内存管理策略,能够更加充分的利用内存。 1 vector内存…...

用MySQL和navicatpremium做一个项目—(财务管理系统)。

1 ER图缩小的话怕你们看不清&#xff0c;所以截了两张图 2 vsdx绘图结果 3DDL和DML,都有点长分了好多次上传&#xff0c;慢慢看 DDL -- 用户表 CREATE TABLE users (user_id INT AUTO_INCREMENT PRIMARY KEY COMMENT 用户ID,username VARCHAR(50) NOT NULL UNIQUE COMMENT 用…...

Jenkins教程-5-gitee自动化测试任务构建

上一小节我们学习了Jenkins构建gitlab自动化测试任务的方法&#xff0c;本小节我们讲解一下gitee自动化测试任务的构建方法。 接下来我们以windows系统为例&#xff0c;讲解一下构建实际自动化测试任务的具体步骤。 安装git和gitee插件 点击进入Jenkins插件管理页面 安装完插…...

CAN-bus总线在冷链运输中的应用

CAN-bus总线在冷链运输中的应用 如图1所示,疫苗冷链是指为保证疫苗从疫苗生产企业到接种单位运转过程中的质量而装备的存储、运输冷藏设施、设备。由于疫苗对温度敏感,从疫苗制造的部门到疫苗使用的现场之间的每一个环节,都可能因温度过高而失效。在储运过程中,一旦温度超…...

Vue 与 React 区别

Vue.js和React是现代Web开发中两种非常流行的前端框架&#xff0c;两者在**核心概念、组件以及生态系统扩展性**等方面存在区别。具体分析如下&#xff1a; 1. **核心概念** - **Vue**&#xff1a;Vue是一个渐进式JavaScript框架&#xff0c;它致力于视图层&#xff0c;易于上手…...

docker+[nginx] 部署nacos2.x 集群

docker+[nginx] 部署nacos2.x 集群 由于机器有限,本文搭建伪集群 准备: nacos1 :192.168.50.9:8848 nacos2:192.168.50.9:8858 nacos3:192.168.50.9:8868 mysql nginx 【可选,见文末】 创建容器共享网络 便于直接使用容器名连接mysql,如果不创建,连接mysql直接使用i…...

Linux学习第54天:Linux WIFI 驱动:蓝星互联

Linux版本号4.1.15 芯片I.MX6ULL 大叔学Linux 品人间百味 思文短情长 数字化、现代化的今天&#xff0c;随处的WIFI给与了大众极大的方便&#xff0c;也感受到了科技的力量。万物互联、无线互联越来越成为一个不可逆转的趋势。现在比较火…...

芯片后端之 PT 使用 report_timing 产生报告如何阅读

今天&#xff0c;就PT常用的命令&#xff0c;做一个介绍&#xff0c;希望对大家以后的工作&#xff0c;起到帮助作用。 在PrimeTime中&#xff0c;使用report_timing -delay max命令生成此报告。switch -delay max表示定时报告用于设置(这是默认值)。 首先&#xff0c;我们整…...