当前位置: 首页 > news >正文

FPGA输出lvds信号点亮液晶屏

概述

        该方案用于生成RGB信号,通过lvds接口驱动逻辑输出,点亮并驱动BP101WX-206液晶屏幕。

参考:下面为参考文章,内容非常详细。Xilinx LVDS Output——原语调用_vivado原语_ShareWow丶的博客icon-default.png?t=N7T8http://t.csdn.cn/Zy37p

功能描述

顶层逻辑结构简略图

        MMCM模块为时钟模块,负责将系统时钟变频与输出,产生各模块所需要的时钟;data_generator模块用于生成各种数据与信号,并传输给lvds_output_driver模块,lvds_output_driver模块将这些数据进行并串转换并按指定的lvds格式输出给液晶屏。

3 模块详细设计

        MMCM模块用于时钟的变频与输出,data_generator模块用于生成8bit RGB数据,行场同步信号(h_sync、v_sync)和数据使能信号data_en,并传输给lvds_output_driver模块,该模块将这些数据进行并串转换并按指定格式输出给液晶屏。具体详细设计图如下:

逻辑顶层详细结构图

        3.1 MMCM模块

        以下为时钟模块MMCM的接口示意图输出65Mhz和455Mhz的时钟,输出locked作为其他模块的复位信号,改模块采用IP核实现。

MMCM模块接口示意图

        3.2 Data_generator模块设计

        手册中给出的时序信息如下图:

手册时序信息

        依照这样的逻辑,画出大致的设计时序图:

时序图01

时序图02

        3.3 lvds_output_driver模块设计

        该模块的详细设计如下:

lvds_output_driver模块逻辑结构图

        手册中的输出逻辑时序如下:

4 详细代码设计

        4.1 data_generator模块详细设计

/* this module is used for data generationBP101WX1-2061280 * 800 pixel
*/
//count H_SYNC
always @(posedge i_clk_65mhz or posedge i_rst) beginif (i_rst) begincnt_h <= 0;endelse if (end_cnt_h) begincnt_h <= 0;endelse begincnt_h <= cnt_h + 1;end
end
assign end_cnt_h = cnt_h == (H_TOTAL - 1);//count V_SYNC
always @(posedge i_clk_65mhz or posedge i_rst) beginif (i_rst) begincnt_v <= 0;endelse if (end_cnt_h) beginif (end_cnt_v) begincnt_v <= 0;endelse begincnt_v <= cnt_v + 1;endend
end
assign end_cnt_v = end_cnt_h && (cnt_v == (V_TOTAL - 1));//OUTPUT h_sync v_sync DE
always @(posedge i_clk_65mhz or posedge i_rst) beginif (i_rst) begino_h_sync <= 1;endelse if ((cnt_h == H_FRONT - 1) || (cnt_h == H_FRONT + H_SYNC - 1)) begino_h_sync <= ~o_h_sync;end
endalways @(posedge i_clk_65mhz or posedge i_rst) beginif (i_rst) begino_v_sync <= 1;endelse if ((cnt_v == V_FRONT - 1 && end_cnt_h) || (cnt_v == V_FRONT + V_SYNC - 1 && end_cnt_h)) begino_v_sync <= ~o_v_sync;end
endalways @(posedge i_clk_65mhz or posedge i_rst) beginif (i_rst) begino_data_en <= 0;endelse if ((cnt_h == H_BLANK - 1 && cnt_v >= V_BLANK) || (cnt_h == H_TOTAL - 1 && cnt_v >= V_BLANK)) begino_data_en <= ~o_data_en;end
end//RGB data generation
assign x_cnt = (cnt_h >= H_BLANK)? cnt_h - (H_BLANK - 1) : 0;
assign y_cnt = (cnt_v >= V_BLANK)? cnt_v - (V_BLANK) : 0;
always @(posedge i_clk_65mhz or posedge i_rst) beginif (i_rst) beginrgb_data <= 0;end/* else if (x_cnt == h_vo || y_cnt == v_vo) beginrgb_data <= 24'hff_00_00;end */else if (x_cnt == 0 || x_cnt == 639 || x_cnt == 1279) beginrgb_data <= 24'hff_00_00;endelse if (y_cnt == 0 || y_cnt == 20 || y_cnt == 40) beginrgb_data <= 24'hff_00_00;endelse beginrgb_data <= 24'hffffff;end
end
assign {o_red_data, o_gre_data, o_blu_data} = rgb_data;

        4.2 lvds_output_driver详细设计

       第一种写法:

// CLK
OSERDESE2 #(.DATA_RATE_OQ     ("SDR"      ),          // DDR, SDR.DATA_RATE_TQ     ("SDR"      ),          // DDR, BUF, SDR.DATA_WIDTH       (7          ),          // Parallel data width (2-8,10,14).INIT_OQ          (1'b0       ),          // Initial value of OQ output (1'b0,1'b1).INIT_TQ          (1'b0       ),          // Initial value of TQ output (1'b0,1'b1).SERDES_MODE      ("MASTER"   ),          // MASTER, SLAVE.SRVAL_OQ         (1'b0       ),          // OQ output value when SR is used (1'b0,1'b1).SRVAL_TQ         (1'b0       ),          // TQ output value when SR is used (1'b0,1'b1).TBYTE_CTL        ("FALSE"    ),          // Enable tristate byte operation (FALSE, TRUE).TBYTE_SRC        ("FALSE"    ),          // Tristate byte source (FALSE, TRUE).TRISTATE_WIDTH   (1          )           // 3-state converter width (1,4))OSERDES_clk_inst (.OFB          (               ),          // 1-bit output: Feedback path for data.OQ           (oserdes_clk    ),          // 1-bit output: Data path output// SHIFTOUT1 / SHIFTOUT2: 1-bit (each) output: Data output expansion (1-bit each).SHIFTOUT1    (               ),.SHIFTOUT2    (               ),.TBYTEOUT     (               ),          // 1-bit output: Byte group tristate.TFB          (               ),          // 1-bit output: 3-state control.TQ           (               ),          // 1-bit output: 3-state control.CLK          (i_clk_455mhz   ),          // 1-bit input: High speed clock.CLKDIV       (i_clk_65mhz    ),          // 1-bit input: Divided clock// D1 - D8: 1-bit (each) input: Parallel data inputs (1-bit each).D1           (CLK_PATTERN[0] ),.D2           (CLK_PATTERN[1] ),.D3           (CLK_PATTERN[2] ),.D4           (CLK_PATTERN[3] ),.D5           (CLK_PATTERN[4] ),.D6           (CLK_PATTERN[5] ),.D7           (CLK_PATTERN[6] ),.D8           (               ),.OCE          (1'b1           ),             // 1-bit input: Output data clock enable.RST          (i_rst          ),             // 1-bit input: Reset// SHIFTIN1 / SHIFTIN2: 1-bit (each) input: Data input expansion (1-bit each).SHIFTIN1     (1'b0           ),.SHIFTIN2     (1'b0           ),// T1 - T4: 1-bit (each) input: Parallel 3-state inputs.T1           (1'b0           ),.T2           (1'b0           ),.T3           (1'b0           ),.T4           (1'b0           ),.TBYTEIN      (1'b0           ),     // 1-bit input: Byte group tristate.TCE          (1'b0           )              // 1-bit input: 3-state clock enable);OBUFDS #(.IOSTANDARD   ("DEFAULT"      ), // Specify the output I/O standard.SLEW         ("SLOW"         )           // Specify the output slew rate) OBUFDS_CLK_inst (.O            (tx_clk_p       ),     // Diff_p output (connect directly to top-level port).OB           (tx_clk_n       ),   // Diff_n output (connect directly to top-level port).I            (oserdes_clk    )      // Buffer input );// 1 PAIR
OSERDESE2 #(.DATA_RATE_OQ     ("SDR"      ),          // DDR, SDR.DATA_RATE_TQ     ("SDR"      ),          // DDR, BUF, SDR.DATA_WIDTH       (7          ),          // Parallel data width (2-8,10,14).INIT_OQ          (1'b0       ),          // Initial value of OQ output (1'b0,1'b1).INIT_TQ          (1'b0       ),          // Initial value of TQ output (1'b0,1'b1).SERDES_MODE      ("MASTER"   ),          // MASTER, SLAVE.SRVAL_OQ         (1'b0       ),          // OQ output value when SR is used (1'b0,1'b1).SRVAL_TQ         (1'b0       ),          // TQ output value when SR is used (1'b0,1'b1).TBYTE_CTL        ("FALSE"    ),          // Enable tristate byte operation (FALSE, TRUE).TBYTE_SRC        ("FALSE"    ),          // Tristate byte source (FALSE, TRUE).TRISTATE_WIDTH   (1          )           // 3-state converter width (1,4))OSERDES_data_inst0 (.OFB          (               ),          // 1-bit output: Feedback path for data.OQ           (oserdes_data[0]),          // 1-bit output: Data path output// SHIFTOUT1 / SHIFTOUT2: 1-bit (each) output: Data output expansion (1-bit each).SHIFTOUT1    (               ),.SHIFTOUT2    (               ),.TBYTEOUT     (               ),          // 1-bit output: Byte group tristate.TFB          (               ),          // 1-bit output: 3-state control.TQ           (               ),          // 1-bit output: 3-state control.CLK          (i_clk_455mhz   ),          // 1-bit input: High speed clock.CLKDIV       (i_clk_65mhz    ),          // 1-bit input: Divided clock// D1 - D8: 1-bit (each) input: Parallel data inputs (1-bit each).D1           (i_gre_data[0]  ),.D2           (i_red_data[5]  ),.D3           (i_red_data[4]  ),.D4           (i_red_data[3]  ),.D5           (i_red_data[2]  ),.D6           (i_red_data[1]  ),.D7           (i_red_data[0]  ),.D8           (               ),.OCE          (1'b1           ),             // 1-bit input: Output data clock enable.RST          (i_rst          ),             // 1-bit input: Reset// SHIFTIN1 / SHIFTIN2: 1-bit (each) input: Data input expansion (1-bit each).SHIFTIN1     (1'b0           ),.SHIFTIN2     (1'b0           ),// T1 - T4: 1-bit (each) input: Parallel 3-state inputs.T1           (1'b0           ),.T2           (1'b0           ),.T3           (1'b0           ),.T4           (1'b0           ),.TBYTEIN      (1'b0           ),     // 1-bit input: Byte group tristate.TCE          (1'b0           )              // 1-bit input: 3-state clock enable);OBUFDS #(.IOSTANDARD   ("DEFAULT"      ), // Specify the output I/O standard.SLEW         ("SLOW"         )           // Specify the output slew rate) OBUFDS_DATA_inst0 (.O            (lvds_data_p[0] ),     // Diff_p output (connect directly to top-level port).OB           (lvds_data_n[0] ),   // Diff_n output (connect directly to top-level port).I            (oserdes_data[0])      // Buffer input );// 2 PAIR
OSERDESE2 #(.DATA_RATE_OQ     ("SDR"      ),          // DDR, SDR.DATA_RATE_TQ     ("SDR"      ),          // DDR, BUF, SDR.DATA_WIDTH       (7          ),          // Parallel data width (2-8,10,14).INIT_OQ          (1'b0       ),          // Initial value of OQ output (1'b0,1'b1).INIT_TQ          (1'b0       ),          // Initial value of TQ output (1'b0,1'b1).SERDES_MODE      ("MASTER"   ),          // MASTER, SLAVE.SRVAL_OQ         (1'b0       ),          // OQ output value when SR is used (1'b0,1'b1).SRVAL_TQ         (1'b0       ),          // TQ output value when SR is used (1'b0,1'b1).TBYTE_CTL        ("FALSE"    ),          // Enable tristate byte operation (FALSE, TRUE).TBYTE_SRC        ("FALSE"    ),          // Tristate byte source (FALSE, TRUE).TRISTATE_WIDTH   (1          )           // 3-state converter width (1,4))OSERDES_data_inst1 (.OFB          (               ),          // 1-bit output: Feedback path for data.OQ           (oserdes_data[1]),          // 1-bit output: Data path output// SHIFTOUT1 / SHIFTOUT2: 1-bit (each) output: Data output expansion (1-bit each).SHIFTOUT1    (               ),.SHIFTOUT2    (               ),.TBYTEOUT     (               ),          // 1-bit output: Byte group tristate.TFB          (               ),          // 1-bit output: 3-state control.TQ           (               ),          // 1-bit output: 3-state control.CLK          (i_clk_455mhz   ),          // 1-bit input: High speed clock.CLKDIV       (i_clk_65mhz    ),          // 1-bit input: Divided clock// D1 - D8: 1-bit (each) input: Parallel data inputs (1-bit each).D1           (i_blu_data[1]  ),.D2           (i_blu_data[0]  ),.D3           (i_gre_data[5]  ),.D4           (i_gre_data[4]  ),.D5           (i_gre_data[3]  ),.D6           (i_gre_data[2]  ),.D7           (i_gre_data[1]  ),.D8           (               ),.OCE          (1'b1           ),             // 1-bit input: Output data clock enable.RST          (i_rst          ),             // 1-bit input: Reset// SHIFTIN1 / SHIFTIN2: 1-bit (each) input: Data input expansion (1-bit each).SHIFTIN1     (1'b0           ),.SHIFTIN2     (1'b0           ),// T1 - T4: 1-bit (each) input: Parallel 3-state inputs.T1           (1'b0           ),.T2           (1'b0           ),.T3           (1'b0           ),.T4           (1'b0           ),.TBYTEIN      (1'b0           ),     // 1-bit input: Byte group tristate.TCE          (1'b0           )              // 1-bit input: 3-state clock enable);OBUFDS #(.IOSTANDARD   ("DEFAULT"      ), // Specify the output I/O standard.SLEW         ("SLOW"         )           // Specify the output slew rate) OBUFDS_DATA_inst1 (.O            (lvds_data_p[1] ),     // Diff_p output (connect directly to top-level port).OB           (lvds_data_n[1] ),   // Diff_n output (connect directly to top-level port).I            (oserdes_data[1])      // Buffer input );// 3 PAIR
OSERDESE2 #(.DATA_RATE_OQ     ("SDR"      ),          // DDR, SDR.DATA_RATE_TQ     ("SDR"      ),          // DDR, BUF, SDR.DATA_WIDTH       (7          ),          // Parallel data width (2-8,10,14).INIT_OQ          (1'b0       ),          // Initial value of OQ output (1'b0,1'b1).INIT_TQ          (1'b0       ),          // Initial value of TQ output (1'b0,1'b1).SERDES_MODE      ("MASTER"   ),          // MASTER, SLAVE.SRVAL_OQ         (1'b0       ),          // OQ output value when SR is used (1'b0,1'b1).SRVAL_TQ         (1'b0       ),          // TQ output value when SR is used (1'b0,1'b1).TBYTE_CTL        ("FALSE"    ),          // Enable tristate byte operation (FALSE, TRUE).TBYTE_SRC        ("FALSE"    ),          // Tristate byte source (FALSE, TRUE).TRISTATE_WIDTH   (1          )           // 3-state converter width (1,4))OSERDES_data_inst2 (.OFB          (               ),          // 1-bit output: Feedback path for data.OQ           (oserdes_data[2]),          // 1-bit output: Data path output// SHIFTOUT1 / SHIFTOUT2: 1-bit (each) output: Data output expansion (1-bit each).SHIFTOUT1    (               ),.SHIFTOUT2    (               ),.TBYTEOUT     (               ),          // 1-bit output: Byte group tristate.TFB          (               ),          // 1-bit output: 3-state control.TQ           (               ),          // 1-bit output: 3-state control.CLK          (i_clk_455mhz   ),          // 1-bit input: High speed clock.CLKDIV       (i_clk_65mhz    ),          // 1-bit input: Divided clock// D1 - D8: 1-bit (each) input: Parallel data inputs (1-bit each).D1           (i_data_en      ),.D2           (i_v_sync       ),.D3           (i_h_sync       ),.D4           (i_blu_data[5]  ),.D5           (i_blu_data[4]  ),.D6           (i_blu_data[3]  ),.D7           (i_blu_data[2]  ),.D8           (               ),.OCE          (1'b1           ),             // 1-bit input: Output data clock enable.RST          (i_rst          ),             // 1-bit input: Reset// SHIFTIN1 / SHIFTIN2: 1-bit (each) input: Data input expansion (1-bit each).SHIFTIN1     (1'b0           ),.SHIFTIN2     (1'b0           ),// T1 - T4: 1-bit (each) input: Parallel 3-state inputs.T1           (1'b0           ),.T2           (1'b0           ),.T3           (1'b0           ),.T4           (1'b0           ),.TBYTEIN      (1'b0           ),     // 1-bit input: Byte group tristate.TCE          (1'b0           )              // 1-bit input: 3-state clock enable);OBUFDS #(.IOSTANDARD   ("DEFAULT"      ), // Specify the output I/O standard.SLEW         ("SLOW"         )           // Specify the output slew rate) OBUFDS_DATA_inst2 (.O            (lvds_data_p[2] ),     // Diff_p output (connect directly to top-level port).OB           (lvds_data_n[2] ),   // Diff_n output (connect directly to top-level port).I            (oserdes_data[2])      // Buffer input );// 4 PAIR
OSERDESE2 #(.DATA_RATE_OQ     ("SDR"      ),          // DDR, SDR.DATA_RATE_TQ     ("SDR"      ),          // DDR, BUF, SDR.DATA_WIDTH       (7          ),          // Parallel data width (2-8,10,14).INIT_OQ          (1'b0       ),          // Initial value of OQ output (1'b0,1'b1).INIT_TQ          (1'b0       ),          // Initial value of TQ output (1'b0,1'b1).SERDES_MODE      ("MASTER"   ),          // MASTER, SLAVE.SRVAL_OQ         (1'b0       ),          // OQ output value when SR is used (1'b0,1'b1).SRVAL_TQ         (1'b0       ),          // TQ output value when SR is used (1'b0,1'b1).TBYTE_CTL        ("FALSE"    ),          // Enable tristate byte operation (FALSE, TRUE).TBYTE_SRC        ("FALSE"    ),          // Tristate byte source (FALSE, TRUE).TRISTATE_WIDTH   (1          )           // 3-state converter width (1,4))OSERDES_data_inst3 (.OFB          (               ),          // 1-bit output: Feedback path for data.OQ           (oserdes_data[3]),          // 1-bit output: Data path output// SHIFTOUT1 / SHIFTOUT2: 1-bit (each) output: Data output expansion (1-bit each).SHIFTOUT1    (               ),.SHIFTOUT2    (               ),.TBYTEOUT     (               ),          // 1-bit output: Byte group tristate.TFB          (               ),          // 1-bit output: 3-state control.TQ           (               ),          // 1-bit output: 3-state control.CLK          (i_clk_455mhz   ),          // 1-bit input: High speed clock.CLKDIV       (i_clk_65mhz    ),          // 1-bit input: Divided clock// D1 - D8: 1-bit (each) input: Parallel data inputs (1-bit each).D1           (DON_CR         ),.D2           (i_blu_data[7]  ),.D3           (i_blu_data[6]  ),.D4           (i_gre_data[7]  ),.D5           (i_gre_data[6]  ),.D6           (i_red_data[7]  ),.D7           (i_red_data[6]  ),.D8           (               ),.OCE          (1'b1           ),             // 1-bit input: Output data clock enable.RST          (i_rst          ),             // 1-bit input: Reset// SHIFTIN1 / SHIFTIN2: 1-bit (each) input: Data input expansion (1-bit each).SHIFTIN1     (1'b0           ),.SHIFTIN2     (1'b0           ),// T1 - T4: 1-bit (each) input: Parallel 3-state inputs.T1           (1'b0           ),.T2           (1'b0           ),.T3           (1'b0           ),.T4           (1'b0           ),.TBYTEIN      (1'b0           ),     // 1-bit input: Byte group tristate.TCE          (1'b0           )              // 1-bit input: 3-state clock enable);OBUFDS #(.IOSTANDARD   ("DEFAULT"      ), // Specify the output I/O standard.SLEW         ("SLOW"         )           // Specify the output slew rate) OBUFDS_DATA_inst3 (.O            (lvds_data_p[3] ),     // Diff_p output (connect directly to top-level port).OB           (lvds_data_n[3] ),   // Diff_n output (connect directly to top-level port).I            (oserdes_data[3])      // Buffer input );

        第二种写法,采用generate语句:

parameter   DON_CR  = 0;
parameter   CLK_PATTERN = 7'b11_000_11;wire [23:0] din;wire        oserdes_clk ;   // oserdes output clk
wire [3:0]  oserdes_data;   // oserdes output datagenvar i;assign din = {  DON_CR, i_blu_data[7:6], i_gre_data[7:6], i_red_data[7:6], i_data_en, i_v_sync ,i_h_sync, i_blu_data[5:2], i_blu_data[1:0], i_gre_data[5:1],i_gre_data[0], i_red_data[5:0]};// CLK
OSERDESE2 #(.DATA_RATE_OQ     ("SDR"      ),          // DDR, SDR.DATA_RATE_TQ     ("SDR"      ),          // DDR, BUF, SDR.DATA_WIDTH       (7          ),          // Parallel data width (2-8,10,14).INIT_OQ          (1'b0       ),          // Initial value of OQ output (1'b0,1'b1).INIT_TQ          (1'b0       ),          // Initial value of TQ output (1'b0,1'b1).SERDES_MODE      ("MASTER"   ),          // MASTER, SLAVE.SRVAL_OQ         (1'b0       ),          // OQ output value when SR is used (1'b0,1'b1).SRVAL_TQ         (1'b0       ),          // TQ output value when SR is used (1'b0,1'b1).TBYTE_CTL        ("FALSE"    ),          // Enable tristate byte operation (FALSE, TRUE).TBYTE_SRC        ("FALSE"    ),          // Tristate byte source (FALSE, TRUE).TRISTATE_WIDTH   (1          )           // 3-state converter width (1,4))OSERDES_clk_inst (.OFB          (               ),          // 1-bit output: Feedback path for data.OQ           (oserdes_clk    ),          // 1-bit output: Data path output// SHIFTOUT1 / SHIFTOUT2: 1-bit (each) output: Data output expansion (1-bit each).SHIFTOUT1    (               ),.SHIFTOUT2    (               ),.TBYTEOUT     (               ),          // 1-bit output: Byte group tristate.TFB          (               ),          // 1-bit output: 3-state control.TQ           (               ),          // 1-bit output: 3-state control.CLK          (i_clk_455mhz   ),          // 1-bit input: High speed clock.CLKDIV       (i_clk_65mhz    ),          // 1-bit input: Divided clock// D1 - D8: 1-bit (each) input: Parallel data inputs (1-bit each).D1           (CLK_PATTERN[0] ),.D2           (CLK_PATTERN[1] ),.D3           (CLK_PATTERN[2] ),.D4           (CLK_PATTERN[3] ),.D5           (CLK_PATTERN[4] ),.D6           (CLK_PATTERN[5] ),.D7           (CLK_PATTERN[6] ),.D8           (               ),.OCE          (1'b1           ),             // 1-bit input: Output data clock enable.RST          (i_rst          ),             // 1-bit input: Reset// SHIFTIN1 / SHIFTIN2: 1-bit (each) input: Data input expansion (1-bit each).SHIFTIN1     (1'b0           ),.SHIFTIN2     (1'b0           ),// T1 - T4: 1-bit (each) input: Parallel 3-state inputs.T1           (1'b0           ),.T2           (1'b0           ),.T3           (1'b0           ),.T4           (1'b0           ),.TBYTEIN      (1'b0           ),     // 1-bit input: Byte group tristate.TCE          (1'b0           )              // 1-bit input: 3-state clock enable);OBUFDS #(.IOSTANDARD   ("DEFAULT"      ), // Specify the output I/O standard.SLEW         ("SLOW"         )           // Specify the output slew rate) OBUFDS_CLK_inst (.O            (o_tx_clk_p     ),     // Diff_p output (connect directly to top-level port).OB           (o_tx_clk_n     ),   // Diff_n output (connect directly to top-level port).I            (oserdes_clk    )      // Buffer input );// DATA
generatefor (i = 0; i<4; i=i+1) beginOSERDESE2 #(.DATA_RATE_OQ     ("SDR"      ),          // DDR, SDR.DATA_RATE_TQ     ("SDR"      ),          // DDR, BUF, SDR.DATA_WIDTH       (7          ),          // Parallel data width (2-8,10,14).INIT_OQ          (1'b0       ),          // Initial value of OQ output (1'b0,1'b1).INIT_TQ          (1'b0       ),          // Initial value of TQ output (1'b0,1'b1).SERDES_MODE      ("MASTER"   ),          // MASTER, SLAVE.SRVAL_OQ         (1'b0       ),          // OQ output value when SR is used (1'b0,1'b1).SRVAL_TQ         (1'b0       ),          // TQ output value when SR is used (1'b0,1'b1).TBYTE_CTL        ("FALSE"    ),          // Enable tristate byte operation (FALSE, TRUE).TBYTE_SRC        ("FALSE"    ),          // Tristate byte source (FALSE, TRUE).TRISTATE_WIDTH   (1          )           // 3-state converter width (1,4))OSERDES_data_inst0 (.OFB          (               ),          // 1-bit output: Feedback path for data.OQ           (oserdes_data[i]),          // 1-bit output: Data path output// SHIFTOUT1 / SHIFTOUT2: 1-bit (each) output: Data output expansion (1-bit each).SHIFTOUT1    (               ),.SHIFTOUT2    (               ),.TBYTEOUT     (               ),          // 1-bit output: Byte group tristate.TFB          (               ),          // 1-bit output: 3-state control.TQ           (               ),          // 1-bit output: 3-state control.CLK          (i_clk_455mhz   ),          // 1-bit input: High speed clock.CLKDIV       (i_clk_65mhz    ),          // 1-bit input: Divided clock// D1 - D8: 1-bit (each) input: Parallel data inputs (1-bit each).D1           (i_gre_data[i*8+6]),.D2           (i_red_data[i*8+5]),.D3           (i_red_data[i*8+4]),.D4           (i_red_data[i*8+3]),.D5           (i_red_data[i*8+2]),.D6           (i_red_data[i*8+1]),.D7           (i_red_data[i*8+0]),.D8           (               ),.OCE          (1'b1           ),             // 1-bit input: Output data clock enable.RST          (i_rst          ),             // 1-bit input: Reset// SHIFTIN1 / SHIFTIN2: 1-bit (each) input: Data input expansion (1-bit each).SHIFTIN1     (1'b0           ),.SHIFTIN2     (1'b0           ),// T1 - T4: 1-bit (each) input: Parallel 3-state inputs.T1           (1'b0           ),.T2           (1'b0           ),.T3           (1'b0           ),.T4           (1'b0           ),.TBYTEIN      (1'b0           ),     // 1-bit input: Byte group tristate.TCE          (1'b0           )              // 1-bit input: 3-state clock enable);OBUFDS #(.IOSTANDARD   ("DEFAULT"      ), // Specify the output I/O standard.SLEW         ("SLOW"         )           // Specify the output slew rate) OBUFDS_DATA_inst0 (.O            (o_lvds_data_p[i]),     // Diff_p output (connect directly to top-level port).OB           (o_lvds_data_n[i]),   // Diff_n output (connect directly to top-level port).I            (oserdes_data[i] )      // Buffer input );end
endgenerate

        4.3 顶层设计

module a_top (input               clk             ,       // sys_clkoutput              pwm_pannel      ,       // backlightoutput              o_tx_clk_p      ,output              o_tx_clk_n      ,output  [3:0]       lvds_data_p     ,output  [3:0]       lvds_data_n      
);wire clk_65mhz       ;
wire clk_455mhz      ;wire rst             ;wire [7:0]  red_data ; 
wire [7:0]  gre_data ; 
wire [7:0]  blu_data ; 
wire        h_sync   ; 
wire        v_sync   ; 
wire        data_en  ; assign pwm_pannel = 1;// MMCM
clk_wiz_0 u_clk_wiz_0(/* output */              .clk_out1         (clk_65mhz  ),/* output */              .clk_out2         (clk_455mhz ),/* output */              .locked           (rst        ),/* input  */              .clk_in1          (clk        )
);data_generator u_data_generator(/* input         */       .i_clk_65mhz      (clk_65mhz  ),   //input 65MHz clk, period : 15.38ns/* input         */       .i_rst            (!rst       ),/* output  [7:0] */       .o_red_data       (red_data   ),/* output  [7:0] */       .o_gre_data       (gre_data   ),/* output  [7:0] */       .o_blu_data       (blu_data   ),/* output  reg   */       .o_h_sync         (h_sync     ),/* output  reg   */       .o_v_sync         (v_sync     ),/* output  reg   */       .o_data_en        (data_en    ) 
);lvds_output_driver u_lvds_output_driver(/* input         */       .i_clk_455mhz     (clk_455mhz ),/* input         */       .i_clk_65mhz      (clk_65mhz  ),/* input         */       .i_rst            (!rst       ),/* input   [7:0] */       .i_red_data       (red_data   ),/* input   [7:0] */       .i_gre_data       (gre_data   ),/* input   [7:0] */       .i_blu_data       (blu_data   ),/* input         */       .i_v_sync         (v_sync     ),/* input         */       .i_h_sync         (h_sync     ),/* input         */       .i_data_en        (data_en    ),/* output        */       .tx_clk_p         (o_tx_clk_p ),/* output        */       .tx_clk_n         (o_tx_clk_n ),/* output  [3:0] */       .lvds_data_p      (lvds_data_p),/* output  [3:0] */       .lvds_data_n      (lvds_data_n) 
);endmodule //a_top

5 实现效果

相关文章:

FPGA输出lvds信号点亮液晶屏

1 概述 该方案用于生成RGB信号&#xff0c;通过lvds接口驱动逻辑输出&#xff0c;点亮并驱动BP101WX-206液晶屏幕。 参考&#xff1a;下面为参考文章&#xff0c;内容非常详细。Xilinx LVDS Output——原语调用_vivado原语_ShareWow丶的博客http://t.csdn.cn/Zy37p 2 功能描述 …...

算法面试-深度学习基础面试题整理(2023.8.29开始,每天下午持续更新....)

一、无监督相关&#xff08;聚类、异常检测&#xff09; 1、常见的距离度量方法有哪些&#xff1f;写一下距离计算公式。 1&#xff09;连续数据的距离计算&#xff1a; 闵可夫斯基距离家族&#xff1a; 当p 1时&#xff0c;为曼哈顿距离&#xff1b;p 2时&#xff0c;为欧…...

FireFox禁用HTTP2

问题 最近需要调试接口&#xff0c;但是&#xff0c;Chrome都是强制使用h2协议&#xff0c;即HTTP/2协议。为了排除h2协议排除对接口调用的影响&#xff0c;需要强制浏览器使用HTTP1协议。 解决 FireFox 设置firefox的network.http.http2.enabled为禁用&#xff0c;这样就禁…...

搭建HTTPS服务器

HTTPS代理服务器的作用与价值 HTTPS代理服务器可以帮助我们实现网络流量的转发和加密&#xff0c;提高网络安全性和隐私保护。本文将指导您从零开始搭建自己的HTTPS代理服务器&#xff0c;让您更自由、安全地访问互联网。 1. 准备工作&#xff1a;选择服务器与操作系统 a. 选…...

无人化在线静电监控系统的组成

无人化在线静电监控系统是一种用于检测和监控静电情况的系统&#xff0c;它可以自动地实时监测各个区域的静电水平&#xff0c;并在出现异常情况时发出报警信号。静电监控报警器则是该系统中的一个重要组成部分&#xff0c;用于接收和传达报警信号。 无人化在线静电监控系统通…...

element ui级联选择器数据处理

后端同事返回的级联选择器数据的children是childrens&#xff0c;而组件渲染只识别children&#xff0c;所以需要props自定义传入&#xff0c;代码如下 <el-form-item label"应用页面&#xff1a;" prop"appId"><el-cascader:props"{ child…...

zookeeper-3.6.4集群搭建

1、上传zookeeper安装包并解压 上传路径&#xff1a;/opt/software/ 解压路径&#xff1a;/opt/module/ 2、创建数据目录及日志目录 #数据目录&#xff1a;/data/zookeeper/data/ #3台机器创建存储目录&#xff1a; sudo mkdir -p /data/zookeeper/data#日志目录&#xff1a…...

15种下载文件的方法文件下载方法汇总超大文件下载

15种下载文件的方法&文件下载方法汇总&超大文件下载 15种下载文件的方法Pentesters经常将文件上传到受感染的盒子以帮助进行权限提升&#xff0c;或者保持在计算机上的存在。本博客将介绍将文件从您的计算机移动到受感染系统的15种不同方法。对于那些在盒子上存在且需要…...

Windows安装配置Rust(附CLion配置与运行)

Windows安装配置Rust&#xff08;附CLion配置与运行&#xff09; 前言一、下载二、安装三、配置标准库&#xff01;&#xff01;&#xff01;四、使用 CLion 运行 rust1、新建rust项目2、配置运行环境3、运行 前言 本文以 windows 安装为例&#xff0c;配置编译器为 minGW&…...

【ROS】例说mapserver静态地图参数(对照Rviz、Gazebo环境)

文章目录 例说mapserver静态地图参数1. Rviz中显示的地图2. mapserver保存地图详解3. 补充实验 例说mapserver静态地图参数 1. Rviz中显示的地图 在建图过程中&#xff0c;rviz会显示建图的实时情况&#xff0c;其输出来自于SLAM&#xff0c;浅蓝色区域为地图大小&#xff0c…...

【RapidAI】P0 项目总览

RapidAI 项目总览 ** 内容介绍 ** Author&#xff1a; SWHL、omahs Github&#xff1a; https://github.com/RapidAI/Knowledge-QA-LLM/ CSDN Author&#xff1a; 脚踏实地的大梦想家 UI Demo&#xff1a; ** 读者须知 ** 本系列博文&#xff0c;主要内容为将 RapidAI 项目逐…...

初识c++

文章目录 前言一、C命名空间1、命名空间2、命名空间定义 二、第一个c程序1、c的hello world2、std命名空间的使用惯例 三、C输入&输出1、c输入&输出 四、c中缺省参数1、缺省参数概念2、缺省参数分类3、缺省参数应用 五、c中函数重载1、函数重载概念2、函数重载应用 六、…...

【面试经典150题】跳跃游戏Ⅱ

题目链接 给定一个长度为 n 的 0 索引整数数组 nums。初始位置为 nums[0]。 每个元素 nums[i] 表示从索引 i 向前跳转的最大长度。换句话说&#xff0c;如果你在 nums[i] 处&#xff0c;你可以跳转到任意 nums[i j] 处: 0 < j < nums[i]i j < n 返回到达 nums[n…...

20230831-完成登录框的按钮操作,并在登录成功后进行界面跳转

登录框的按钮操作&#xff0c;并在登录成功后进行界面跳转 app.cpp #include "app.h" #include <cstdio> #include <QDebug> #include <QLineEdit> #include <QLabel> #include <QPainter> #include <QString> #include <Q…...

039 - sql逻辑操作符

前提&#xff1a; 做两个表employee和movie&#xff0c;用来练习使用&#xff1b; 表一&#xff1a;employee -- 创建表employee CREATE TABLE IF NOT EXISTS employee(id INT NOT NULL AUTO_INCREMENT,first_name VARCHAR(100) NOT NULL,last_name VARCHAR(100) NOT NULL,t…...

DbLInk使用

DbLInk介绍 DbLink是一种数据库连接技术&#xff0c;在不同的数据库之间进行数据传输和共享。它提供了一种透明的方法&#xff0c;让一个数据库访问另一个数据库的数据。 DbLink的优点是可以在多个数据库间实现数据共享&#xff0c;并且为不同数据库间的数据访问提供了便捷的…...

2.3 Vector 动态数组(迭代器)

C数据结构与算法 目录 本文前驱课程 1 C自学精简教程 目录(必读) 2 Vector<T> 动态数组&#xff08;模板语法&#xff09; 本文目标 1 熟悉迭代器设计模式&#xff1b; 2 实现数组的迭代器&#xff1b; 3 基于迭代器的容器遍历&#xff1b; 迭代器语法介绍 对迭…...

【ES6】Proxy的高级用法,实现一个生成各种 DOM 节点的通用函数dom

下面的例子则是利用get拦截&#xff0c;实现一个生成各种 DOM 节点的通用函数dom。 <body> </body><script>const dom new Proxy({}, {get(target, property) {return function(attrs {}, ...children) {const el document.createElement(property);for …...

气象站是什么设备?功能是什么?

气象站是一种用于测量和记录气象数据的设备。它通常是由各种传感器及其数据传输设备、固定设备和供电设备组成&#xff0c;可以测量风速、风向、温度、湿度、气压、降水量等气象要素&#xff0c;并将这些数据记录下来&#xff0c;以便进一步分析和研究。 气象站通常设置在广阔…...

227. 基本计算器 II Python

文章目录 一、题目描述示例 1示例 2示例 3 二、代码三、解题思路 一、题目描述 给你一个字符串表达式 s &#xff0c;请你实现一个基本计算器来计算并返回它的值。 整数除法仅保留整数部分。 你可以假设给定的表达式总是有效的。所有中间结果将在 [-2^31, 2^31 - 1]的范围内…...

python中字典常用函数

字典常用函数 cmp(dict1,dict2) &#xff08;已删除&#xff0c;直接用>,<,即可&#xff09; 如果两个字典的元素相同返回0&#xff0c;如果字典dict1大于字典dict2返回1&#xff0c;如果字典dict1小于字典dict2返回-1。 先比较字典的长度&#xff0c;然后比较键&#x…...

leetcode88合并两个有序数组

题目&#xff1a; 给你两个按 非递减顺序 排列的整数数组 nums1 和 nums2&#xff0c;另有两个整数 m 和 n &#xff0c;分别表示 nums1 和 nums2 中的元素数目。 请你 合并 nums2 到 nums1 中&#xff0c;使合并后的数组同样按 非递减顺序 排列。 注意&#xff1a;最终&…...

Ceph入门到精通-Nginx 大量请求 延迟优化

优化nginx以处理大量请求并减少延迟可以通过以下几种方法实现&#xff1a; 调整worker_processes和worker_connections参数&#xff1a;增加worker_processes值可以增加nginx的进程数量&#xff0c;提高并发处理能力。增加worker_connections参数的值可以增加每个worker进程可…...

Vulnstack----5、ATTCK红队评估实战靶场五

文章目录 一 环境搭建二 外网渗透三 内网信息收集3.1 本机信息收集3.2 域内信息收集 四 横向移动4.1 路由转发和代理通道4.2 抓取域用户密码4.3 使用Psexec登录域控4.4 3389远程登录 五、痕迹清理 一 环境搭建 1、项目地址 http://vulnstack.qiyuanxuetang.net/vuln/detail/7/ …...

QT 5.8

QT与Qt Creator&#xff0c;前者是框架&#xff0c;类似与MFC&#xff0c;而后者是QT的编译器&#xff0c;也可以使用Visual studio编辑&#xff0c;编译需要其他的 Index of /new_archive/qt/5.8/5.8.0...

AIGC+思维导图:提升你的学习与工作效率的「神器」

目录 一、产品简介 二、功能介绍 2.1 AI一句话生成思维导图 2.2百万模版免费用 2.3分屏视图&#xff0c;一屏读写 2.4团队空间&#xff0c;多人协作 2.5 云端跨平台化 2.6 免费够用&#xff0c;会员功能更强大 2.7 支持多种格式的导入导出 三、使用教程 3.1 使用AI…...

javaScript:DOM元素的获取(静态/动态获取)

目录 一.dom元素获取的意义与使用场景 使用场景&#xff08;绝大多数js操作都需要dom操作&#xff09; 总结/疑问解答&#xff01; 二.DOM元素获取的常用方法&#xff08;重点&#xff09; 获取dom元素&#xff08;动态&#xff09; document.gerElementbyId() docume…...

数据结构前言

一、什么是数据结构&#xff1f; 数据结构是计算机存储、组织数据的方式。数据结构是指相互之间存在一种或多种特定关系的数据元素的集合。 上面是百度百科的定义&#xff0c;通俗的来讲数据结构就是数据元素集合与数据元素集合或者数据元素与数据元素之间的组成形式。 举个…...

Docker基于alpine带glibc的小型容器image

由于程序是C写的&#xff0c;gc编译&#xff0c;找了几个容器&#xff0c;生成比较小的是debianslim和ubuntu&#xff0c;生成后的大小分别为88MB&#xff0c;和91MB&#xff0c;还是太大了&#xff0c;于是想起一些小型容器如busybox或者alpine自己装glibc&#xff0c;但是试了…...

Nginx教程

Nginx教程 01-Nginx简介02-windows安装Nginx03-Nginx目录结构04-Linux安装Nginx05-linux下源码安装nginx06-linux下nginx配置07-在docker中安装nginx08-源码安装和yum安装的区别09-Nginx运行组和运行用户10-卸载nginx11-nginx的基本原理和架构12-nginx是如何处理请求的13-nginx…...

电商设计灵感网站/免费网站建设哪家好

order by的boolean排序&#xff08;后面接条件查询&#xff09;...

做网站需要注意的/成人馆店精准引流怎么推广

1 引言高阶组件&#xff08; higher-order component &#xff0c;HOC &#xff09;是 React 中复用组件逻辑的一种进阶技巧。它本身并不是 React 的 API&#xff0c;而是一种 React 组件的设计理念&#xff0c;众多的 React 库已经证明了它的价值&#xff0c;例如耳熟能详的 r…...

网站 盈利/优化防疫政策

HashMap底层核心知识总结 本文结合底层对HashMap核心知识进行归纳总结&#xff01;&#xff01;&#xff01; 一、了解数据结构中的HashMap吗&#xff1f;介绍下他的结构和底层原理&#xff1f; HashMap是由数组链表组成的数据结构&#xff08;jdk1.8中是数组链表红⿊树的数…...

哪些网站开发/友情链接交换平台源码

桔妹导读&#xff1a;随着计算机技术和工程架构的发展&#xff0c;微服务变得越来越热。如今&#xff0c;绝大多数服务都处于分布式环境中&#xff0c;其中&#xff0c;数据一致性是我们一直关注的重点。分布式锁到底是什么&#xff1f;经过了哪些发展演进&#xff1f;工程上有…...

广州番禺服装网站建设/学企业管理培训班

这三个函数都是指向函数本身的&#xff0c;call()和apply()的第一参数都是这个函数要执行的上下文对象&#xff0c;之后的参数是这个函数执行要传的参数&#xff0c;不同的地方时call是以枚举的方式传参&#xff0c;apply是以数组的形式传参&#xff0c;当然也可以传递argument…...

设立网站/百度开户推广多少钱

我明明 重定向了fputc 函数 但是无法在串口助手 输出内容 最后发现 不只是需要重定向fputc 函数 还需要配置魔术棒 需要勾选这个Use Micro LIB 然后重新编译 下载进去 串口助手就能正常显示我的数据了...