当前位置: 首页 > news >正文

HNU工训中心:直流电路测量分析实验报告

工训中心的牛马实验

  1. 实验目的

1.熟悉直流电路的测量和分析方法。

2.熟悉直流电源、电压表、电流表的使用法及其特性。

  1. 实验仪器和器材

1.实验仪器

   直流稳压电源型号:IT6302 

   台式多用表型号:UT805A

2.实验(箱)器材

  电路实验箱

  元器件:电阻(功率1/2W:100,330,470,510x3,1k);

  二极管(1N4148)

3.实验预习的虚拟实验平台

   NI Multisim 
3.实验内容

1.测量电阻串联分压电路和并联分流电路。分析:串联电路总电压为器件分压电压之和,并联电路总电流为支路电流之和。

2. 测量直流电源开路电压VS和带负载电压VR。分析:直流电源可等效为一个理想电压源串联内阻r的电路。

3. 测量3回路2激励源电阻线性电路。分析:节点电流之和为零;回路电压之和为零,

 测量2激励源分别单独作用电路时的电压或电流。分析:与2激励源共同作用时值的关系:线性电路可叠加。

4.实验原理

1.电阻串联与并联电路

串联电路电流相同,具有分压作用U=U1+U2

并联电路电压相同,具有分流作用I=I1+I2

 

2.仪器仪表内阻的影响及激励源内阻的测量

a.激励源等效内阻

    激励源可等效为一个理想电压源VS(电流源)和内阻r串联(并联)电路。当外加负载输出电流时,激励源端口电压会下降,内阻大下降多,电流大下降多。等效内阻r的测量:

先测开路电压: US=VS

再测短路电流(内阻大时): IS

        r=US/IS                       

  或测量外加负载电阻R时的电压(内阻小时):UR

       r=(US-UR)R/UR

 

差值法

由于直流电压源等效内阻较小,空载与加负载时的电压变化较小,为了减小测量误差常采用差值法测量△U(US-UR)。   

       测量电压时电压表的正极接被测电压源正极,电压表的负极接另外一个比较电压源的正极(两电压源负极相连),将比较电压源的电压调整到被测电压源空载时相同,这时电压表为 0,被测电压源接负载时,电压表为△U

 r=△UR/UR

b.仪器仪表内阻:

     电压表内阻大,电流表内阻小。测量电压与被测电路并联,测量电流要串入被测电路。

    电流表外接时测得的电流为被测电流加电压表内的电流(同时测量电流电压时),电压表内阻越大,测量误差越小;电压表外接时测得的电压为被测器件与电流表内阻串联电路的总电压。

 

3.回路2激励源电阻线性直流电路测量分析

   流向某一节点的电流之和等于由该节点流出的电流之和。

   沿电路中的任一回路绕行一周,在该回路上电动势之和等于各电阻上的电压降之和。

iR1+iR2+iR3=0 (设定方向,如:流出节点2正)                 

uR1+v1+uR4+uR3=0 (设定方向,如:回路1逆时针为正)

 

4. 线性电路与非线性电路测量

     在线性电路中,任一支路的电流(或电压)可以看成是电路中每一个独立激励源单独作用于电路时,在该支路产生的电流(或电压)的代数和;在非线性电路中(有非线性元器件)不成立。

R3分别在V1,V2单独激励下的(电流)相加的值与前面的值相同:

    iR3(v1+v2)=iR3(v1)+iR3(v2)

 

  1. 实验步骤及数据记录
  1. 测试电阻串联和并联电路

步骤:

  1. 按照实验原理图在实验箱上连接好电路
  2. 应用仪器仪表测量各电流和电压
  3. 列表记录测量数据

串联电路Vs=12V

R1=470Ω

R2=1000Ω

I(mA)

8.163

8.163

8.163

U(V)

11.9928

8.1555

3.835

U12=UR1+UR2

并联Vs=12V

R1=470Ω

R2=1000Ω

I(mA)

37.295

11.922

25.289

U(V)

11.9648

11.9643

11.9625

I=IR1+IR2

实验电路图:

 

结果分析:

由实验数据可得,串联电路中电流处处相等,而电源电源等于各个电阻分得的电压之和。在并联电路中各个之路电压相等,通过干路的电流等于通过各个之路电流的和。实验数据与理想值存在误差,可能是仪器测量不准,元器件老化等原因。

  1. 仪器仪表内阻的影响及激励源内阻的测量

步骤:

1.按照实验原理图在实验箱上连接好电路

2.测出开路电压Us

3.测出串联100Ω的R1后的R1的分压UR

4.通过公式求出直流电压源等效电阻

R1=100Ω

开路电压

接R1的电压

U(V)

11.9999

9.771

r=(Us-Ur)R/Ur=22.811Ω

电路连接图

 

分析结果:

由结果分析可得,仪器仪表和激励源存在内阻,它会对测量数据产生一定影响,对测量结果造成误差。

  1. 二电压源三个回路电阻电路测试

a.在V1V2电压激励源下的电压(电流)

步骤:

  1. 按照原理图寻找需要的电阻
  2. 按照原理图连接好电路
  3. 测量数据并填入表格
  4. 计算流出节点1的电流和:ir1 +ir2 +ir3=0
  5. 验证UR1+6+UR3+UR4=0

回路电压

回路电压之和

支路电流

节点电流之和

V1

V2

UR1

UR2

UR3

UR4

UR5

回路1

回路2

回路3

IR1

IR2

IR3

节点1

V1V2共同作用

5.9943

11.9924

0.98634

6.0066

4.0165

0.99034

1.96702

0.0012

0.0021

0.0006

1.81456

6.022

7.94

0.0021

V1单独作用

5.9870

0

2.1974

1.19717

1.58827

2.2046

0.39142

0.0032

0.0041

0.0022

4.33

1.12708

3.934

0.0053

V2单独作用

0

11.9997

1.213

7.2018

2.431

1.2178

2.3596

0.0011

0.0014

0.0007

2.349

7.198

4.802

0.0022

V1V2单独作用之和

0.9844

6.0047

4.0192

1.10768

1.961

0.9844

6.0047

0.0035

0.0051

0.0031

1.981

6.071

8.736

0.0143

实验电路:

 

Multisim模拟图

 

结果分析:

由实验数据可得,V1V2共同作用时对元件的分压等于V1V2分别作用时的分压之和,同时每个回路的电压之和接近于0。无论是V1V2共同作用还是V1V2分别作用时节点1的电流之和都接近于0,说明流入节点1的电流之和为0。即线性电路可叠加。

  1. (选)非线性电路测量

将R1跟换为D1,按步骤3和4,测量器件在激励源单独和共同作用时的电压和电流值,分析测量数据。

回路电压

回路电压之和

支路电流

节点电流之和

V1

V2

UD1

UR2

UR3

UR4

UR5

回路1

回路2

回路3

IR1

IR2

IR3

节点1

V1V2共同作用

5.9943

11.9924

0.621

-5.87

4.187

-1.192

1.193

0.0012

0.0021

0.0006

2.337

5.876

-8.209

0.0021

V1单独作用

5.9870

0

0.652

1.687

2.244

-3.1

-0.557

0.0032

0.0041

0.0022

6.087

-1.687

-4.399

0.0053

V2单独作用

0

11.9997

-3.326

-6.522

3.326

0.17

2.152

0.0011

0.0014

0.0007

-0.333

6.523

-6.521

0.0022

V1V2单独作用之和

5.9844

12.0047

-2.674

-4.835

5.47

-2.93

1.595

0.0035

0.0051

0.0031

5.754

4.836

10.92

0.0143

Multisim模拟图:

 

结果分析:

由实验数据可得无论V1V2共同作用还是单独作用,每个回路的电压之和都接近与0,但V1V2共同作用时对元件的分压不等于其分别作用时的分压之和,电流同电压一样,但流入节点1的电流之和仍接近0,这与二极管的非线性特性有关。即非线性电路不可叠加。

6.心得总结

1.学习的知识点:学会了如何连接串并联电路并测量元件的电压和指路电流。学会了如何测量计算电压源的等效内阻。学会了测量线性电路和非线性电路的特性。学会了验证认识了误差的产生原因,学习了避免/减少误差的方法

2.掌握的技能:掌握了测量元件电压和电流的技能,学会如何测量电压源等效内阻。熟悉了利用绘图软件绘图的能力。

3.人文:认识到交流效率的重要性。听讲或者观摩网课时的疑问与其思考,不如多余同学老师交流请教。在面对多阶段多人合作的精细操作时,保持高度的耐心和高效的交流是十分必要的。这样间接影响着实验进行的效率。同时,实验安全也十分重要。同时要保护好仪器,测量时要调成相对应的档位,以免烧坏仪表。

4.记忆:课前提前预习,可以极大的知识的记忆程度。同时,及时对知识进行梳理也可以节约大量记忆成本。

相关文章:

HNU工训中心:直流电路测量分析实验报告

工训中心的牛马实验 实验目的 1.熟悉直流电路的测量和分析方法。 2.熟悉直流电源、电压表、电流表的使用法及其特性。 实验仪器和器材 1.实验仪器 直流稳压电源型号:IT6302 台式多用表型号:UT805A 2.实验(箱)器材 电路实验箱 元器件:电阻…...

tensorflow2.4--1.框架介绍

前言 虽然1.x版本tensorflow有很多项目都基于此构建,然而随着2.x版本的推出,很多架构已经发生了改变,代码发生了改变,同时很多模组已经废弃不用或者更新,tensorflow1.x已经不能再兼容最新的项目,与时俱进是必要的,因此…...

c++11 关键字 final 使用

写在最前。。。 请支持原创~~ 1. 功能 用以指定一个 virtual function 不能被派生类重写;或者指定一个 class 不能被继承;2. 语法 对于类中成员函数有两种情况: 只声明时,final 紧跟参数的右括号,如果是纯虚函数&a…...

力扣(LeetCode)426. 将二叉搜索树转化为排序的双向链表(2023.02.28)

将一个 二叉搜索树 就地转化为一个 已排序的双向循环链表 。 对于双向循环列表,你可以将左右孩子指针作为双向循环链表的前驱和后继指针,第一个节点的前驱是最后一个节点,最后一个节点的后继是第一个节点。 特别地,我们希望可以…...

华为OD机试真题Python实现【玩牌高手】真题+解题思路+代码(20222023)

玩牌高手 题目 给定一个长度为N的整数数组,表示一个选手在N轮内选择的牌面分数, 选手基于规则选牌,请计算所有轮结束后其可以获得的最高总分数。 选择规则如下: 在每轮里选手可以选择获取该轮牌面,则其总分数加上该轮牌面分数为其新的总分数选手也可不选择本轮牌面,直接…...

“速通“ 老生常谈的HashMap [实现原理源码解读]

👳我亲爱的各位大佬们好😘😘😘 ♨️本篇文章记录的为 HashMap 实现原理&&源码解读 相关内容,适合在学Java的小白,帮助新手快速上手,也适合复习中,面试中的大佬🙉🙉&#x1f…...

Linux系统介绍及熟悉Linux基础操作

一、什么是Liunx Linux,全称GNU/Linux,是一种免费使用和自由传播的类UNIX操作系统,其内核由林纳斯本纳第克特托瓦兹(Linus Benedict Torvalds)于1991年10月5日首次发布,它主要受到Minix和Unix思想的启发&am…...

mysql数据库limit的四种用法

文章目录前言一、语法二、参数说明三、常用示例-4种用法总结前言 mysql数据库中limit子句可以被用于强制select语句返回指定的记录数。limit接受一个或两个数字参数。参数必须是一个整数常量。如果给定两个参数,第一个参数指定第一个返回记录行的偏移量&#xff0c…...

嵌入式 linux 系统开发网络的设置

目录 一、前言 二、linux网络静态地址设置 前言 为什么要对linux系统下的ubuntu进行网络设置呢? 因为我们在嵌入式开发中,我们要保证windows系统、linux系统、开发板的ip要处于同一个网段,而默认ubuntu下的linux系统的ip是动态分配的&#…...

算法设计与分析——十大经典排序算法一(1--5)

目录 算法设计与分析——十大经典排序算法 第1关:冒泡排序 参考代码 第2关:选择排序 参考代码 第3关:插入排序 参考代码 第4关:希尔排序 参考代码 第5关:归并排序 参考代码 作者有言 一个不知名大学生&#x…...

六.慕课的冲击:知识何以有力量?

6.1知识就是力量?【单选题】关于技术进步,以下说法错误的是( )。A、技术进步可以不依靠知识积累B、知识的力量推动技术进步C、技术黑箱换句话说即是天上掉馅饼D、专利保护产生的垄断利润,构成创新动力我的答案:A【判断题】罗伯特索洛认为,技…...

SQL基础

sql基础笔记 DATEDIFF() 函数返回两个日期之间的时间。 DATEDIFF(parameter1,parameter2,parameter3) parameter1:可为 年月日时分秒或周 parameter2,parameter3:合法的日期 如&#xff1a…...

脏牛复现(CVE2016-5195)

nmap扫描全网段,发现存货主机,ip为192.168.85.141nmap 192.168.85.0/24nmap 扫描端口,发现80端口,访问该网站nmap -p1-65535 192.168.85.141扫描该网站目录,什么也没扫出来 ,dirb扫描目录的字典在usr/share…...

Redis源码---内存友好的数据结构该如何细化设计

目录 前言 内存友好的数据结构 SDS 的内存友好设计 redisObject 结构体与位域定义方法 嵌入式字符串 压缩列表和整数集合的设计 节省内存的数据访问 前言 Redis 是内存数据库,所以,高效使用内存对 Redis 的实现来说非常重要而实际上,R…...

获取 本周、本月、本年 的开始或结束时间

获取 本周、本月、本年 的开始或结束时间 public class DateTimeUtil{// 获取 本周、本月、本年 的开始或结束时间/// <summary>/// 获取开始时间/// </summary>/// <param name"TimeType">Week、Month、Year</param>/// <param name&quo…...

算法训练营 day58 动态规划 判断子序列 不同的子序列

算法训练营 day58 动态规划 判断子序列 不同的子序列 判断子序列 392. 判断子序列 - 力扣&#xff08;LeetCode&#xff09; 给定字符串 s 和 t &#xff0c;判断 s 是否为 t 的子序列。 字符串的一个子序列是原始字符串删除一些&#xff08;也可以不删除&#xff09;字符而…...

优思学院|DFMEA是全球制造业的必修课!

DFMEA&#xff08;Design Failure Mode and Effects Analysis&#xff09;是一种分析技术&#xff0c;在产品设计的早期阶段识别和解决潜在的失效问题。它通过分析设计的各个方面&#xff0c;识别潜在的失效模式和影响&#xff0c;并提出相应的改进措施&#xff0c;以减少失效的…...

【Day02数据结构 空间复杂度】

最近太忙了都好久没有更新博客了,太难了,抽空水一篇文章,大佬们多多支持. 上篇:时间复杂度分析 目录 前言 一、空间复杂度概念&#xff1f; 二、实例展示 三、.有复杂度要求的算法题练习 1.题目链接&#xff1a;力扣--消失的数字 2.题目链接&#xff1a;力扣--旋转数组 总结: 1…...

多数据库管理工具哪家强?ChatGPT点评,第一位并不是Navicat

SQL逐渐成为职场必备的编程语言&#xff0c;相信大家都不陌生。SQL是一种结构化查询语言&#xff0c;是用于数据库之间通信的编程语言。每个数据库都有着自己独特的访问规则&#xff0c;但大体上是遵循SQL标准。 因此&#xff0c;辗转于不同的数据库之间&#xff0c;开发者或D…...

UnityShader常用函数(UnityShader内置函数、CG和GLSL内置函数等)

空间变换函数函数名描述float4 UnityWorldToClipPos(float3 pos )把世界坐标空间中某一点pos变换到齐次裁剪空间float4 UnityViewToClipPos(float3 pos )把观察坐标空间中某一点pos变换到齐次裁剪空间float3 UnityObjectToViewPos(float3 pos或float4 pos)模型局部空间坐标系中…...

Springboot自定义注解-1

注解用于修饰其他的注解(纪委&#xff1a;管干部的干部) ① Retention&#xff1a;定义注解的保留策略 Retention(RetentionPolicy.SOURCE) //注解仅存在于源码中&#xff0c;在class字节码文件中不包含 Retention(RetentionPolicy.CLASS) …...

经纬度标定及大地坐标系相关概念(一)

经纬度标定及大地坐标系相关概念&#xff08;一&#xff09;一、背景二、经纬度的概念三、大地坐标系四、大地坐标系的分类五、各类坐标系介绍5.1 我国地理坐标系5.1.1 北京54坐标系5.1.2 1980西安坐标系5.1.3 2000国家大地坐标系5.2 世界大地坐标系5.1.1 WGS84坐标系5.3 加密坐…...

synchronized关键字原理

synchronized原理 1、基本特点 基于锁策略&#xff0c;可以知道synchronized具有以下特性&#xff1a; 1.开始时候是乐观锁&#xff0c;如果锁冲突频繁就转换为悲观锁 2.开始是轻量级锁&#xff0c;如果锁持有的时间较长&#xff0c;就转换成重量级锁 3.实现轻量级锁的时候…...

面试被问死怎么办?学会这四招,通过的机率提升30%

软件工程师面试很难&#xff0c;难到什么程度呢&#xff1f;有一句话可以来形容&#xff1a; 面试造飞机&#xff0c;上班拧螺丝 没错&#xff0c;面试的时候各种问你原理、机制&#xff0c;而这些在实际工作中却很难用到。于是乎&#xff0c;很多工程师面试的时候就非常害怕…...

Android TV UI开发常用知识

导入依赖 Google官方为Android TV的UI开发提供了一系列的规范组件&#xff0c;在leanback的依赖库中&#xff0c;这里介绍一些常用的组件&#xff0c;使用前需要导入leanback库。 implementation androidx.leanback:leanback:$version常用的页面 这些Fragment有设计好的样式&…...

Xshell 下载及安装

文章目录下载安装连接服务器Xshell 是一个强大的安全终端模拟软件&#xff0c;它支持SSH1, SSH2, 以及Microsoft Windows 平台的TELNET 协议。Xshell 通过互联网到远程主机的安全连接以及它创新性的设计和特色帮助用户在复杂的网络环境中享受他们的工作。 Xshell可以在Windows界…...

【LeetCode】剑指 Offer(12)

目录 题目&#xff1a;剑指 Offer 30. 包含min函数的栈 - 力扣&#xff08;Leetcode&#xff09; 题目的接口&#xff1a; 解题思路&#xff1a; 代码&#xff1a; 过啦&#xff01;&#xff01;&#xff01; 写在最后&#xff1a; 题目&#xff1a;剑指 Offer 30. 包含m…...

vue在history模式下打包部署问题解决

引言 项目使用的模板是element-template&#xff0c;由于业务需要&#xff0c;我将路由的hash模式更改为了history模式&#xff0c;然后在打包部署项目时就出现了问题 个人发现是资源的访问路径有问题&#xff0c;在部署之后发现每次访问的js资源路径前都会自动携带上我路由的…...

Java中常见性能优化策略的总结

文章目录1. 代码优化2. 数据库层面优化SQL调优架构层面的调优连接池调优3. 网络优化4. 缓存缓存分类使用场景选型考虑什么时候更新缓存&#xff1f;如何保障更新的可靠性和实时性&#xff1f;缓存是否会满&#xff0c;缓存满了怎么办&#xff1f;缓存是否允许丢失&#xff1f;丢…...

c++日志库log4cplus使用

项目中需要打印log&#xff0c;方便程序调试和问题定位分析。C实现的log4cplus日志库是一种易于使用的C 日志记录API&#xff0c;可提供线程安全&#xff0c;灵活且任意粒度的日志管理和配置控制。 下面介绍一下在linux中安装log4cplus库过程 下载地址&#xff1a;https://gi…...

什么是接口测试,我们如何实现接口测试?

1. 什么是接口测试 顾名思义&#xff0c;接口测试是对系统或组件之间的接口进行测试&#xff0c;主要是校验数据的交换&#xff0c;传递和控制管理过程&#xff0c;以及相互逻辑依赖关系。其中接口协议分为HTTP,WebService,Dubbo,Thrift,Socket等类型&#xff0c;测试类型又主…...

随机森林在sklearn中的实现

目录 一.集成算法 二.sklearn中的集成算法模块ensemble 三.RandomForestClassifier(随机森林分类器) 四.重要参数 1.基评估器参数 2.随机森林参数 五.重要属性和接口 六.Bagging的另一个必要条件 七.RandomForestRegressor(随机森林回归器) 八.机器学习中调参的基本思…...

[论文总结] 深度学习在农业领域应用论文笔记11

深度学习在农业上的应用笔记11 最近发表的相关论文数量不多&#xff0c;质量普遍也不尽如人意&#xff0c;尤其是《Computers and Electronics in Agriculture》这个期刊。这些论文的方法都很简单&#xff0c;只是强行将深度学习应用于某个问题上&#xff0c;而没有考虑到农业…...

Android 9.0 SystemUI 状态栏屏蔽弹出的悬浮式通知

1.概述 在9.0的系统ROM产品定制化开发中,在systemui的状态栏中,会在有闹钟 wifi连接等特殊弹窗通知的时候,会在接收到系统通知时,弹窗悬浮式弹窗通知,然后过几秒中, 就消失了,所以像这样的悬浮式通知,在有些产品中是不需要的,要求屏蔽掉,这就需要按照悬浮式流程来分析…...

商简智能计划与排程SPS在纺织行业中的应用

企业背景 某织造、染色及后整理一体化工艺的纺织面料企业&#xff0c;主要从事户外功能运动服装、内衣、泳衣、汽车内饰等面料的研发和销售&#xff0c;年产值在20亿左右&#xff0c;是迪卡侬运动面料最优质供应商之一。 纺织行业特点 印染具有典型的流程行业特性&#xff0c…...

549、RocketMQ详细入门教程系列 -【消息队列之 RocketMQ(三)】 2023.02.28

目录一、Spring 整合 RocketMQ1.1 消息生产者1.2 消息消费者1.3 Spring 配置文件1.4 运行实例程序二、参考链接一、Spring 整合 RocketMQ 不同于 RabbitMQ、ActiveMQ、Kafka 等消息中间件&#xff0c;Spring 社区已经通过多种方式提供了对这些中间件产品集成&#xff0c;例如通…...

如何使用SpringBoot ⽇志?

Spring Boot自定义日志的打印:在一个类中先获取到打印日志对象&#xff08;日志框架提供的日志对象&#xff0c;而日志框架默认已经集成到Spring Boot里了&#xff0c;springboot默认使用 slf4jlogback);注意&#xff1a;得到日志对象Logger ->来自于slf4j2、使用目志对象提…...

山东大学数字图像处理实验:MATLAB的图像显示方法

文章目录MATLAB 学习实验目的实验原理及方法实验内容MATLAB的图像显示方法实验目的实验内容MATLAB 学习 实验目的 了解 MATLAB 的基本功能及操作方法。掌握典型离散信号的 Matlab 产生和显示。 实验原理及方法 在 MATLAB 中, 序列是用矩阵向量表示, 但它没有包含采样信息, …...

Java缓存面试题——Redis解决方案

文章目录1、什么是缓存击穿&#xff1f;该如何解决2、什么是缓存穿透&#xff1f;该如何解决3、什么是缓存雪崩&#xff1f;该如何解决4、什么是BigKey&#xff1f;该如何解决bigkey的危害发现bigkey解决bigkey5、redis过期策略都有哪些&#xff1f;6、讲一讲Redis缓存的数据一…...

Flink:The generic type parameters of ‘Collector‘ are missing 类型擦除

类型擦除问题处理报错日志描述问题描述报错解决其他方法方法一&#xff1a;TypeInformation方法二&#xff1a;TypeHint报错日志描述 报错日志&#xff1a; The generic type parameters of Collector are missing. In many cases lambda methods dont provide enough informa…...

MySQL查询操作

系列文章目录前言一、简单查询SELECT子句SELECT后面之间跟列名DISTINCT,ALL列表达式列更名WHERE子句WHERE子句中可以使用的查询条件比较运算BETWEEN...AND...集合查询&#xff1a;IN模糊查询LIKE空值比较&#xff1a;IS NULL多重条件查询SELECT 的基本结构ORDER BY子句排序聚集…...

Redis-day01-note

Redis-day01-note 文章目录**Redis-day01-note****安装****配置文件详解****数据类型****字符串类型(string)**列表数据类型&#xff08;List&#xff09;****与python交互**Redis介绍特点及优点 1、开源的&#xff0c;使用C编写&#xff0c;基于内存且支持持久化 2、高性能的…...

嵌入式C基础知识(19)

时序在前面我们说到当处理器要向外设芯片写数据时&#xff0c;需要先将所需访问的外设的地址放在地址总线上&#xff0c;然后&#xff0c;由译码器将地址总线上的数据转换成片选信号&#xff0c;片选信号则使能目标外设芯片&#xff0c;接下来处理器写数据到数据总线上&#xf…...

java 2(程序流程控制)【含例题详解】

java ——程序流程控制 ✍作者&#xff1a;电子科大不知名程序员 &#x1f332;专栏&#xff1a;java学习指导 各位读者如果觉得博主写的不错&#xff0c;请诸位多多支持&#xff1b;如果有错误的地方&#xff0c;欢迎在评论区指出 目录java ——程序流程控制分支结构if-elsesw…...

基于Conda完成创建多版本python环境

文章目录基于Conda完成创建多版本python环境基于Conda完成创建多版本python环境 通过cmd打开conda环境 d:\ProgramData\Anaconda3\Scripts\activate创建python3.7的环境 conda create -n py3.7 python3.7产生错误 Collecting package metadata (repodata.json): failed Unav…...

35岁的测试被裁,公司地位还不如00后...

国内的互联网行业发展较快&#xff0c;所以造成了技术研发类员工工作强度比较大&#xff0c;同时技术的快速更新又需要员工不断的学习新的技术。因此淘汰率也比较高&#xff0c;超过35岁的基层研发类员工&#xff0c;往往因为家庭原因、身体原因&#xff0c;比较难以跟得上工作…...

vue H5跳转小程序报错:config:fail,Error: 系统错误,错误码:63002,invalid signature

微信开发者工具下载地址与更新日志 错误码&#xff1a;63002,invalid signature 无效的签名 附录5 微信网页开发 /JS-SDK说明文档 微信 JS 接口签名校验工具 全局返回码说明 ​ 排查步骤 确认签名算法正确&#xff0c;可用 http://mp.weixin.qq.com/debug/cgi-bin/sand…...

来面试阿里测开工程师,HR问我未来3-5年规划,我给HR画个大饼。

在面试的过程中是不是经常被面试官问未来几年的职业规划?你会答吗&#xff1f;是不是经常脑袋里一片空白&#xff0c;未来规划&#xff1f;我只是想赚更多的钱啊&#xff0c;哈哈哈&#xff0c;今天我来教大家&#xff0c;如何给面试官画一个大饼&#xff0c;让他吃的不亦乐乎…...

【2373. 矩阵中的局部最大值】

来源&#xff1a;力扣&#xff08;LeetCode&#xff09; 描述&#xff1a; 给你一个大小为 n x n 的整数矩阵 grid 。 生成一个大小为 (n - 2) x (n - 2) 的整数矩阵 maxLocal &#xff0c;并满足&#xff1a; maxLocal[i][j] 等于 grid 中以 i 1 行和 j 1 列为中心的 3 …...

Read book Netty in action(Chapter VII)--ChannelHandler和ChannelPipeline

序言 我们曾经学过了ByteBuf – netty的数据容器&#xff0c;还有ChannelHandler和ChannelPipeline&#xff0c;这一把将他们组合起来&#xff0c;这些组件的交互正是Netty的灵魂所在&#xff01; ChannelHanlder家族 在详细地学习ChannelHanlder之前&#xff0c;我们将在Ne…...